# vile 9.5a - patch 2005/9/5 - Thomas Dickey <dickey@invisible-island.net> # ------------------------------------------------------------------------------ # CHANGES | 24 # MANIFEST | 13 # aclocal.m4 | 79 # configure | 3040 +++++++++++++++++++------------------ # configure.in | 11 # doc/filters.doc | 7 # file.c | 6 # filters/ada-filt.l | 8 # filters/as-filt.l | 12 # filters/asm-filt.l | 18 # filters/au3-filt.l | 10 # filters/awk-filt.l | 10 # filters/bas-filt.l | 12 # filters/bat-filt.l | 26 # filters/cfg-filt.l | 14 # filters/cwebfilt.l | 12 # filters/dcl-filt.l | 8 # filters/def-filt.l | 6 # filters/ecl-filt.l | 6 # filters/esqlfilt.l | 10 # filters/est-filt.l | 10 # filters/fdl-filt.l | 4 # filters/genmake.mak | 7 # filters/htmlfilt.l | 10 # filters/imakeflt.l | 11 # filters/infofilt.l | 18 # filters/ini-filt.l | 6 # filters/iss-filt.l | 8 # filters/latexflt.l | 18 # filters/lex-filt.l | 14 # filters/lispfilt.l | 6 # filters/mailfilt.l | 10 # filters/makefile.2nd | 6 # filters/makefilt.l | 11 # filters/mms-filt.l | 7 # filters/nr-filt.l | 8 # filters/pas-filt.l | 8 # filters/perlfilt.l | 22 # filters/pot-filt.l | 10 # filters/ps-filt.l | 16 # filters/py-filt.l | 10 # filters/rb-filt.l | 16 # filters/rc-filt.l | 10 # filters/rcs-filt.l | 6 # filters/rexxfilt.l | 10 # filters/rpm-filt.l | 18 # filters/sccsfilt.l | 4 # filters/sh-filt.l | 18 # filters/sml-filt.l | 14 # filters/spellflt.l | 6 # filters/sql-filt.l | 11 # filters/tbl-filt.l | 10 # filters/tc-filt.l | 6 # filters/tcl-filt.l | 14 # filters/texifilt.l | 10 # filters/ti-filt.l | 8 # filters/txt-filt.l | 18 # filters/vilefilt.l | 12 # filters/vl-filt.l | 22 # filters/vlog.key | 79 # filters/xml-filt.l | 10 # filters/xresfilt.l | 10 # filters/xs-filt.l | 10 # filters/yaccfilt.l | 8 # macros/modes.rc | 34 # patchlev.h | 2 # revlist | 147 - # vile-9.5.spec | 9 # vile-9.5a/filters/bnf-filt.l | 55 # vile-9.5a/filters/bnf.key | 1 # vile-9.5a/filters/css-filt.l | 170 ++ # vile-9.5a/filters/css.key | 403 ++++ # vile-9.5a/filters/midl.key | 176 ++ # vile-9.5a/filters/midlfilt.l | 73 # vile-9.5a/filters/noclass.sh | 56 # vile-9.5a/filters/php-filt.l | 78 # vile-9.5a/filters/php.key | 3361 +++++++++++++++++++++++++++++++++++++++++ # vile-9.5a/filters/wbt-filt.l | 83 + # vile-9.5a/filters/wbt.key | 874 ++++++++++ # 79 files changed, 7524 insertions(+), 1880 deletions(-) # ------------------------------------------------------------------------------ Index: CHANGES --- vile-9.5+/CHANGES 2005-07-25 23:30:23.000000000 +0000 +++ vile-9.5a/CHANGES 2005-09-05 00:34:38.000000000 +0000 @@ -1,3 +1,27 @@ +Changes for vile 9.6 (released ??? ??? ?? ????) + + 20050905 (a) + > Tom Dickey: + + review/improve vl-filt.l based on Verilog LRM draft + + add configure check for lex's which support character classes, and + add filters/noclass.sh to work around those which do not. + + improvements to configure script from ncurses: + + improve check for Intel compiler warnings + + improve check for gcc version + + define _QNX_SOURCE for QNX + + revert part of 9.4n, which caused readin() to return false if there + was no filename associated with the buffer. Doing this broke some + macros such as which-keywords (using buffer constructed by the + macro). Previously it returned true, indicating success. + + improve parsing of range expressions in lex-filt.l, fixes a case + exposed by css-filt.l + + correct a case where slowread() in dosmode would compute a correct + buffer size. + + modify bat-filt.l to highlight "echo." consistently with M$ usage. + + modify bat-filt.l to highlight "%*". + + add syntax filters for bnf, css, midl, php and wbt (WinBatch). + + modify syntax filters to use flex's character classes. + Changes for vile 9.5 (released Mon Jul 25 2005) 20050717 (zb) Index: MANIFEST --- vile-9.5+/MANIFEST 2005-07-25 23:34:05.000000000 +0000 +++ vile-9.5a/MANIFEST 2005-09-05 22:19:13.000000000 +0000 @@ -1,4 +1,4 @@ -MANIFEST for vile, version v9_5 +MANIFEST for vile, version v9_5a -------------------------------------------------------------------------------- MANIFEST this file CHANGES Change-log for VILE @@ -177,12 +177,16 @@ filters/basic.key keywords for basic syntax filter filters/bat-filt.l dos batchfile syntax filter for vile filters/bat.key dos batchfile keywords +filters/bnf-filt.l BNF filter +filters/bnf.key keywords for BNF filter filters/c-filt.c c filter filters/c-filt.flx original flex-based c-filter filters/c.key keywords for C filters/cfg-filt.l syntax filter for Lynx config file. filters/cpp.key C++ keywords filters/csh.key keywords for csh/tcsh syntax highlighting filter +filters/css-filt.l syntax filter for CSS files +filters/css.key keywords for css mode filters/cweb.key keyword table for cweb syntax filter filters/cwebfilt.l cwebx syntax filter for vile filters/dcl-filt.l VAX dcl syntax highlighting filter for vile @@ -240,6 +244,8 @@ filters/makefilt.l makefile syntax filter for vile filters/makelist.sh script to construct a list of filter names filters/manfilt.c manpage-filter +filters/midl.key keywords for MIDL +filters/midlfilt.l syntax filter for MIDL filters/mk-0th.awk construct builtflt.h filters/mk-0th.bat generate builtflt.h from genmake.mak filters/mk-1st.awk script to generate lists of built-in/external filters @@ -248,6 +254,7 @@ filters/mk-2nd.bat generate rules for makefile.wnt filters/mk-key.awk generate install/uninstall rules for keyword files filters/mms-filt.l mms syntax filter for vile +filters/noclass.sh script to support lex's w/o character-classes filters/nr-filt.l nroff syntax highlighting filter for vile filters/nr.key keywords for nroff filter filters/nsis.key keywords for NullSoft installer @@ -256,6 +263,8 @@ filters/pc.key keywords for printcap syntax highlighting filters/perl.key keywords for perl syntax filter filters/perlfilt.l Perl syntax filter for vile +filters/php-filt.l syntax filter for php +filters/php.key keywords for phpmode filters/pl-filt.c syntax highlighter for perl filters/pot-filt.l syntax filter for gettext ".po" files filters/pot.key keywords for pot (gettext) mode @@ -308,6 +317,8 @@ filters/vim.key keywords for vim syntax files filters/vl-filt.l Verilog syntax highlighting filter filters/vlog.key verilog keywords, from vgrind definition +filters/wbt-filt.l syntax highlighter for winbatch +filters/wbt.key WinBatch keywords filters/xml-filt.l syntax filter for xml filters/xml.key keywords for xml syntax highlighter filters/xres.key keywords for X resource filter Index: aclocal.m4 Prereq: 1.154 --- vile-9.5+/aclocal.m4 2005-07-17 15:05:16.000000000 +0000 +++ vile-9.5a/aclocal.m4 2005-09-05 13:50:29.000000000 +0000 @@ -1,6 +1,6 @@ dnl vile's local definitions for autoconf. dnl -dnl $Header: /usr/build/vile/vile/RCS/aclocal.m4,v 1.154 2005/07/17 15:05:16 tom Exp $ +dnl $Header: /usr/build/vile/vile/RCS/aclocal.m4,v 1.158 2005/09/05 13:50:29 tom Exp $ dnl dnl --------------------------------------------------------------------------- dnl --------------------------------------------------------------------------- @@ -1050,7 +1050,7 @@ fi ])dnl dnl --------------------------------------------------------------------------- -dnl CF_GCC_VERSION version: 3 updated: 2003/09/06 19:16:57 +dnl CF_GCC_VERSION version: 4 updated: 2005/08/27 09:53:42 dnl -------------- dnl Find version of gcc AC_DEFUN([CF_GCC_VERSION],[ @@ -1058,13 +1058,13 @@ GCC_VERSION=none if test "$GCC" = yes ; then AC_MSG_CHECKING(version of $CC) - GCC_VERSION="`${CC} --version|sed -e '2,$d' -e 's/^[[^0-9.]]*//' -e 's/[[^0-9.]].*//'`" + GCC_VERSION="`${CC} --version| sed -e '2,$d' -e 's/^.*(GCC) //' -e 's/^[[^0-9.]]*//' -e 's/[[^0-9.]].*//'`" test -z "$GCC_VERSION" && GCC_VERSION=unknown AC_MSG_RESULT($GCC_VERSION) fi ])dnl dnl --------------------------------------------------------------------------- -dnl CF_GCC_WARNINGS version: 19 updated: 2005/07/09 13:23:07 +dnl CF_GCC_WARNINGS version: 20 updated: 2005/08/06 18:37:29 dnl --------------- dnl Check if the compiler supports useful warning options. There's a few that dnl we don't use, simply because they're too noisy: @@ -1085,8 +1085,8 @@ dnl AC_DEFUN([CF_GCC_WARNINGS], [ -AC_REQUIRE([CF_INTEL_COMPILER]) AC_REQUIRE([CF_GCC_VERSION]) +CF_INTEL_COMPILER(GCC,INTEL_COMPILER,CFLAGS) cat > conftest.$ac_ext <<EOF #line __oline__ "configure" @@ -1435,35 +1435,38 @@ AC_SUBST(IMAKE_LOADFLAGS) ])dnl dnl --------------------------------------------------------------------------- -dnl CF_INTEL_COMPILER version: 1 updated: 2004/12/03 20:27:48 +dnl CF_INTEL_COMPILER version: 3 updated: 2005/08/06 18:37:29 dnl ----------------- -dnl Check if the given compiler is really the Intel compiler for Linux. -dnl It tries to imitate gcc, but does not return an error when it finds a -dnl mismatch between prototypes, e.g., as exercised by CF_MISSING_CHECK. -dnl -dnl This macro should be run "soon" after AC_PROG_CC, to ensure that it is -dnl not mistaken for gcc. +dnl Check if the given compiler is really the Intel compiler for Linux. It +dnl tries to imitate gcc, but does not return an error when it finds a mismatch +dnl between prototypes, e.g., as exercised by CF_MISSING_CHECK. +dnl +dnl This macro should be run "soon" after AC_PROG_CC or AC_PROG_CPLUSPLUS, to +dnl ensure that it is not mistaken for gcc/g++. It is normally invoked from +dnl the wrappers for gcc and g++ warnings. +dnl +dnl $1 = GCC (default) or GXX +dnl $2 = INTEL_COMPILER (default) or INTEL_CPLUSPLUS +dnl $3 = CFLAGS (default) or CXXFLAGS AC_DEFUN([CF_INTEL_COMPILER],[ -AC_REQUIRE([AC_PROG_CC]) +ifelse($2,,INTEL_COMPILER,[$2])=no -INTEL_COMPILER=no - -if test "$GCC" = yes ; then +if test "$ifelse($1,,[$1],GCC)" = yes ; then case $host_os in linux*|gnu*) - AC_MSG_CHECKING(if this is really Intel compiler) - cf_save_CFLAGS="$CFLAGS" - CFLAGS="$CFLAGS -no-gcc" + AC_MSG_CHECKING(if this is really Intel ifelse($1,GXX,C++,C) compiler) + cf_save_CFLAGS="$ifelse($3,,CFLAGS,[$3])" + ifelse($3,,CFLAGS,[$3])="$ifelse($3,,CFLAGS,[$3]) -no-gcc" AC_TRY_COMPILE([],[ #ifdef __INTEL_COMPILER #else make an error #endif -],[INTEL_COMPILER=yes +],[ifelse($2,,INTEL_COMPILER,[$2])=yes cf_save_CFLAGS="$cf_save_CFLAGS -we147 -no-gcc" ],[]) - CFLAGS="$cf_save_CFLAGS" - AC_MSG_RESULT($INTEL_COMPILER) + ifelse($3,,CFLAGS,[$3])="$cf_save_CFLAGS" + AC_MSG_RESULT($ifelse($2,,INTEL_COMPILER,[$2])) ;; esac fi @@ -1541,6 +1544,33 @@ ]) ]) dnl --------------------------------------------------------------------------- +dnl CF_LEX_CHAR_CLASSES version: 4 updated: 2005/09/05 09:46:13 +dnl ------------------- +dnl Check if the lex/flex program accepts character-classes, i.e., [:alpha:], +dnl which are said to be a POSIX feature. +AC_DEFUN([CF_LEX_CHAR_CLASSES],[ +AC_MSG_CHECKING(if $LEX supports character classes) +cat >conftest.l <<CF_EOF +IDENT [[[:alpha:]][[:alnum:]]]+ +DATE "#"[[:blank:]][[:alnum:]\,:./]+"#" +%% +{IDENT} { ECHO; } +{DATE} { ECHO; } +CF_EOF +cf_lex_char_classes="$LEX conftest.l 1>&AC_FD_CC" +if AC_TRY_EVAL(cf_lex_char_classes); then + LEX_CHAR_CLASSES=yes +else + LEX_CHAR_CLASSES=no +fi +AC_MSG_RESULT($LEX_CHAR_CLASSES) +rm -f conftest.* $LEX_OUTPUT_ROOT.c +if test "$LEX_CHAR_CLASSES" != yes ; then + AC_WARN(Your $LEX program does not support character classes. Get flex.) +fi +AC_SUBST(LEX_CHAR_CLASSES) +])dnl +dnl --------------------------------------------------------------------------- dnl CF_LEX_STATES version: 2 updated: 1999/05/07 22:29:23 dnl ------------- dnl Check if the lex/flex program accepts states, i.e., %s and %x. Older @@ -2948,7 +2978,7 @@ fi ])dnl dnl --------------------------------------------------------------------------- -dnl CF_XOPEN_SOURCE version: 21 updated: 2005/07/14 17:00:39 +dnl CF_XOPEN_SOURCE version: 22 updated: 2005/08/06 18:06:32 dnl --------------- dnl Try to get _XOPEN_SOURCE defined properly that we can use POSIX functions, dnl or adapt to the vendor's definitions to get equivalent functionality. @@ -2999,6 +3029,9 @@ osf[[45]]*) #(vi CPPFLAGS="$CPPFLAGS -D_OSF_SOURCE" ;; +nto-qnx*) #(vi + CPPFLAGS="$CPPFLAGS -D_QNX_SOURCE" + ;; sco*) #(vi # setting _XOPEN_SOURCE breaks Lynx on SCO Unix / OpenServer ;; Index: configure --- vile-9.5+/configure 2005-07-17 15:05:44.000000000 +0000 +++ vile-9.5a/configure 2005-09-05 13:51:13.000000000 +0000 @@ -1,5 +1,5 @@ #! /bin/sh -# From configure.in Revision: 1.219 . +# From configure.in Revision: 1.220 . # Guess values for system-dependent variables and create Makefiles. # Generated by Autoconf 2.52.20030208. # @@ -2180,11 +2180,11 @@ INTEL_COMPILER=no -if test "$GCC" = yes ; then +if test "$" = yes ; then case $host_os in linux*|gnu*) - echo "$as_me:2186: checking if this is really Intel compiler" >&5 -echo $ECHO_N "checking if this is really Intel compiler... $ECHO_C" >&6 + echo "$as_me:2186: checking if this is really Intel C compiler" >&5 +echo $ECHO_N "checking if this is really Intel C compiler... $ECHO_C" >&6 cf_save_CFLAGS="$CFLAGS" CFLAGS="$CFLAGS -no-gcc" cat >conftest.$ac_ext <<_ACEOF @@ -2886,6 +2886,9 @@ osf[45]*) #(vi CPPFLAGS="$CPPFLAGS -D_OSF_SOURCE" ;; +nto-qnx*) #(vi + CPPFLAGS="$CPPFLAGS -D_QNX_SOURCE" + ;; sco*) #(vi # setting _XOPEN_SOURCE breaks Lynx on SCO Unix / OpenServer ;; @@ -2893,14 +2896,14 @@ CPPFLAGS="$CPPFLAGS -D__EXTENSIONS__" ;; *) - echo "$as_me:2896: checking if we should define _XOPEN_SOURCE" >&5 + echo "$as_me:2899: checking if we should define _XOPEN_SOURCE" >&5 echo $ECHO_N "checking if we should define _XOPEN_SOURCE... $ECHO_C" >&6 if test "${cf_cv_xopen_source+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 2903 "configure" +#line 2906 "configure" #include "confdefs.h" #include <sys/types.h> int @@ -2915,16 +2918,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:2918: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:2921: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:2921: \$? = $ac_status" >&5 + echo "$as_me:2924: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:2924: \"$ac_try\"") >&5 + { (eval echo "$as_me:2927: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:2927: \$? = $ac_status" >&5 + echo "$as_me:2930: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_xopen_source=no else @@ -2933,7 +2936,7 @@ cf_save="$CPPFLAGS" CPPFLAGS="$CPPFLAGS -D_XOPEN_SOURCE=$cf_XOPEN_SOURCE" cat >conftest.$ac_ext <<_ACEOF -#line 2936 "configure" +#line 2939 "configure" #include "confdefs.h" #include <sys/types.h> int @@ -2948,16 +2951,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:2951: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:2954: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:2954: \$? = $ac_status" >&5 + echo "$as_me:2957: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:2957: \"$ac_try\"") >&5 + { (eval echo "$as_me:2960: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:2960: \$? = $ac_status" >&5 + echo "$as_me:2963: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_xopen_source=no else @@ -2972,7 +2975,7 @@ rm -f conftest.$ac_objext conftest.$ac_ext fi -echo "$as_me:2975: result: $cf_cv_xopen_source" >&5 +echo "$as_me:2978: result: $cf_cv_xopen_source" >&5 echo "${ECHO_T}$cf_cv_xopen_source" >&6 if test "$cf_cv_xopen_source" != no ; then @@ -3006,16 +3009,16 @@ sed -e 's/-[UD]_POSIX_C_SOURCE\(=[^ ]*\)\?[ ]/ /g' \ -e 's/-[UD]_POSIX_C_SOURCE\(=[^ ]*\)\?$//g'` -echo "$as_me:3009: checking if we should define _POSIX_C_SOURCE" >&5 +echo "$as_me:3012: checking if we should define _POSIX_C_SOURCE" >&5 echo $ECHO_N "checking if we should define _POSIX_C_SOURCE... $ECHO_C" >&6 if test "${cf_cv_posix_c_source+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else -echo "(line 3015) testing if the symbol is already defined go no further ..." 1>&5 +echo "(line 3018) testing if the symbol is already defined go no further ..." 1>&5 cat >conftest.$ac_ext <<_ACEOF -#line 3018 "configure" +#line 3021 "configure" #include "confdefs.h" #include <sys/types.h> int @@ -3030,16 +3033,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:3033: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:3036: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:3036: \$? = $ac_status" >&5 + echo "$as_me:3039: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:3039: \"$ac_try\"") >&5 + { (eval echo "$as_me:3042: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:3042: \$? = $ac_status" >&5 + echo "$as_me:3045: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_posix_c_source=no else @@ -3060,7 +3063,7 @@ esac if test "$cf_want_posix_source" = yes ; then cat >conftest.$ac_ext <<_ACEOF -#line 3063 "configure" +#line 3066 "configure" #include "confdefs.h" #include <sys/types.h> int @@ -3075,16 +3078,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:3078: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:3081: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:3081: \$? = $ac_status" >&5 + echo "$as_me:3084: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:3084: \"$ac_try\"") >&5 + { (eval echo "$as_me:3087: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:3087: \$? = $ac_status" >&5 + echo "$as_me:3090: \$? = $ac_status" >&5 (exit $ac_status); }; }; then : else @@ -3095,15 +3098,15 @@ rm -f conftest.$ac_objext conftest.$ac_ext fi -echo "(line 3098) testing ifdef from value $cf_POSIX_C_SOURCE ..." 1>&5 +echo "(line 3101) testing ifdef from value $cf_POSIX_C_SOURCE ..." 1>&5 CFLAGS="$cf_trim_CFLAGS" CPPFLAGS="$cf_trim_CPPFLAGS $cf_cv_posix_c_source" -echo "(line 3103) testing if the second compile does not leave our definition intact error ..." 1>&5 +echo "(line 3106) testing if the second compile does not leave our definition intact error ..." 1>&5 cat >conftest.$ac_ext <<_ACEOF -#line 3106 "configure" +#line 3109 "configure" #include "confdefs.h" #include <sys/types.h> int @@ -3118,16 +3121,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:3121: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:3124: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:3124: \$? = $ac_status" >&5 + echo "$as_me:3127: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:3127: \"$ac_try\"") >&5 + { (eval echo "$as_me:3130: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:3130: \$? = $ac_status" >&5 + echo "$as_me:3133: \$? = $ac_status" >&5 (exit $ac_status); }; }; then : else @@ -3143,7 +3146,7 @@ rm -f conftest.$ac_objext conftest.$ac_ext fi -echo "$as_me:3146: result: $cf_cv_posix_c_source" >&5 +echo "$as_me:3149: result: $cf_cv_posix_c_source" >&5 echo "${ECHO_T}$cf_cv_posix_c_source" >&6 if test "$cf_cv_posix_c_source" != no ; then @@ -3164,7 +3167,7 @@ do # Extract the first word of "$ac_prog", so it can be a program name with args. set dummy $ac_prog; ac_word=$2 -echo "$as_me:3167: checking for $ac_word" >&5 +echo "$as_me:3170: checking for $ac_word" >&5 echo $ECHO_N "checking for $ac_word... $ECHO_C" >&6 if test "${ac_cv_prog_LEX+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -3179,7 +3182,7 @@ test -z "$ac_dir" && ac_dir=. $as_executable_p "$ac_dir/$ac_word" || continue ac_cv_prog_LEX="$ac_prog" -echo "$as_me:3182: found $ac_dir/$ac_word" >&5 +echo "$as_me:3185: found $ac_dir/$ac_word" >&5 break done @@ -3187,10 +3190,10 @@ fi LEX=$ac_cv_prog_LEX if test -n "$LEX"; then - echo "$as_me:3190: result: $LEX" >&5 + echo "$as_me:3193: result: $LEX" >&5 echo "${ECHO_T}$LEX" >&6 else - echo "$as_me:3193: result: no" >&5 + echo "$as_me:3196: result: no" >&5 echo "${ECHO_T}no" >&6 fi @@ -3200,7 +3203,7 @@ if test -z "$LEXLIB" then - echo "$as_me:3203: checking for yywrap in -lfl" >&5 + echo "$as_me:3206: checking for yywrap in -lfl" >&5 echo $ECHO_N "checking for yywrap in -lfl... $ECHO_C" >&6 if test "${ac_cv_lib_fl_yywrap+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -3208,7 +3211,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lfl $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 3211 "configure" +#line 3214 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -3227,16 +3230,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:3230: \"$ac_link\"") >&5 +if { (eval echo "$as_me:3233: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:3233: \$? = $ac_status" >&5 + echo "$as_me:3236: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:3236: \"$ac_try\"") >&5 + { (eval echo "$as_me:3239: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:3239: \$? = $ac_status" >&5 + echo "$as_me:3242: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_fl_yywrap=yes else @@ -3247,12 +3250,12 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:3250: result: $ac_cv_lib_fl_yywrap" >&5 +echo "$as_me:3253: result: $ac_cv_lib_fl_yywrap" >&5 echo "${ECHO_T}$ac_cv_lib_fl_yywrap" >&6 if test $ac_cv_lib_fl_yywrap = yes; then LEXLIB="-lfl" else - echo "$as_me:3255: checking for yywrap in -ll" >&5 + echo "$as_me:3258: checking for yywrap in -ll" >&5 echo $ECHO_N "checking for yywrap in -ll... $ECHO_C" >&6 if test "${ac_cv_lib_l_yywrap+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -3260,7 +3263,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-ll $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 3263 "configure" +#line 3266 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -3279,16 +3282,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:3282: \"$ac_link\"") >&5 +if { (eval echo "$as_me:3285: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:3285: \$? = $ac_status" >&5 + echo "$as_me:3288: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:3288: \"$ac_try\"") >&5 + { (eval echo "$as_me:3291: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:3291: \$? = $ac_status" >&5 + echo "$as_me:3294: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_l_yywrap=yes else @@ -3299,7 +3302,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:3302: result: $ac_cv_lib_l_yywrap" >&5 +echo "$as_me:3305: result: $ac_cv_lib_l_yywrap" >&5 echo "${ECHO_T}$ac_cv_lib_l_yywrap" >&6 if test $ac_cv_lib_l_yywrap = yes; then LEXLIB="-ll" @@ -3310,7 +3313,7 @@ fi if test "x$LEX" != "x:"; then - echo "$as_me:3313: checking lex output file root" >&5 + echo "$as_me:3316: checking lex output file root" >&5 echo $ECHO_N "checking lex output file root... $ECHO_C" >&6 if test "${ac_cv_prog_lex_root+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -3324,16 +3327,16 @@ elif test -f lexyy.c; then ac_cv_prog_lex_root=lexyy else - { { echo "$as_me:3327: error: cannot find output from $LEX; giving up" >&5 + { { echo "$as_me:3330: error: cannot find output from $LEX; giving up" >&5 echo "$as_me: error: cannot find output from $LEX; giving up" >&2;} { (exit 1); exit 1; }; } fi fi -echo "$as_me:3332: result: $ac_cv_prog_lex_root" >&5 +echo "$as_me:3335: result: $ac_cv_prog_lex_root" >&5 echo "${ECHO_T}$ac_cv_prog_lex_root" >&6 LEX_OUTPUT_ROOT=$ac_cv_prog_lex_root -echo "$as_me:3336: checking whether yytext is a pointer" >&5 +echo "$as_me:3339: checking whether yytext is a pointer" >&5 echo $ECHO_N "checking whether yytext is a pointer... $ECHO_C" >&6 if test "${ac_cv_prog_lex_yytext_pointer+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -3349,16 +3352,16 @@ `cat $LEX_OUTPUT_ROOT.c` _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:3352: \"$ac_link\"") >&5 +if { (eval echo "$as_me:3355: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:3355: \$? = $ac_status" >&5 + echo "$as_me:3358: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:3358: \"$ac_try\"") >&5 + { (eval echo "$as_me:3361: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:3361: \$? = $ac_status" >&5 + echo "$as_me:3364: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_prog_lex_yytext_pointer=yes else @@ -3370,7 +3373,7 @@ rm -f "${LEX_OUTPUT_ROOT}.c" fi -echo "$as_me:3373: result: $ac_cv_prog_lex_yytext_pointer" >&5 +echo "$as_me:3376: result: $ac_cv_prog_lex_yytext_pointer" >&5 echo "${ECHO_T}$ac_cv_prog_lex_yytext_pointer" >&6 if test $ac_cv_prog_lex_yytext_pointer = yes; then @@ -3384,7 +3387,7 @@ ### checks for alternative programs -echo "$as_me:3387: checking for makeflags variable" >&5 +echo "$as_me:3390: checking for makeflags variable" >&5 echo $ECHO_N "checking for makeflags variable... $ECHO_C" >&6 if test "${cf_cv_makeflags+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -3417,7 +3420,7 @@ rm -f cf_makeflags.tmp fi -echo "$as_me:3420: result: $cf_cv_makeflags" >&5 +echo "$as_me:3423: result: $cf_cv_makeflags" >&5 echo "${ECHO_T}$cf_cv_makeflags" >&6 # Find a good install program. We prefer a C program (faster), @@ -3432,7 +3435,7 @@ # AFS /usr/afsws/bin/install, which mishandles nonexistent args # SVR4 /usr/ucb/install, which tries to use the nonexistent group "staff" # ./install, which can be erroneously created by make from ./install.sh. -echo "$as_me:3435: checking for a BSD compatible install" >&5 +echo "$as_me:3438: checking for a BSD compatible install" >&5 echo $ECHO_N "checking for a BSD compatible install... $ECHO_C" >&6 if test -z "$INSTALL"; then if test "${ac_cv_path_install+set}" = set; then @@ -3481,7 +3484,7 @@ INSTALL=$ac_install_sh fi fi -echo "$as_me:3484: result: $INSTALL" >&5 +echo "$as_me:3487: result: $INSTALL" >&5 echo "${ECHO_T}$INSTALL" >&6 # Use test -z because SunOS4 sh mishandles braces in ${var-val}. @@ -3492,7 +3495,7 @@ test -z "$INSTALL_DATA" && INSTALL_DATA='${INSTALL} -m 644' -echo "$as_me:3495: checking whether ${MAKE-make} sets \${MAKE}" >&5 +echo "$as_me:3498: checking whether ${MAKE-make} sets \${MAKE}" >&5 echo $ECHO_N "checking whether ${MAKE-make} sets \${MAKE}... $ECHO_C" >&6 set dummy ${MAKE-make}; ac_make=`echo "$2" | sed 'y,./+-,__p_,'` if eval "test \"\${ac_cv_prog_make_${ac_make}_set+set}\" = set"; then @@ -3512,11 +3515,11 @@ rm -f conftest.make fi if eval "test \"`echo '$ac_cv_prog_make_'${ac_make}_set`\" = yes"; then - echo "$as_me:3515: result: yes" >&5 + echo "$as_me:3518: result: yes" >&5 echo "${ECHO_T}yes" >&6 SET_MAKE= else - echo "$as_me:3519: result: no" >&5 + echo "$as_me:3522: result: no" >&5 echo "${ECHO_T}no" >&6 SET_MAKE="MAKE=${MAKE-make}" fi @@ -3525,7 +3528,7 @@ do # Extract the first word of "$ac_prog", so it can be a program name with args. set dummy $ac_prog; ac_word=$2 -echo "$as_me:3528: checking for $ac_word" >&5 +echo "$as_me:3531: checking for $ac_word" >&5 echo $ECHO_N "checking for $ac_word... $ECHO_C" >&6 if test "${ac_cv_prog_AWK+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -3540,7 +3543,7 @@ test -z "$ac_dir" && ac_dir=. $as_executable_p "$ac_dir/$ac_word" || continue ac_cv_prog_AWK="$ac_prog" -echo "$as_me:3543: found $ac_dir/$ac_word" >&5 +echo "$as_me:3546: found $ac_dir/$ac_word" >&5 break done @@ -3548,10 +3551,10 @@ fi AWK=$ac_cv_prog_AWK if test -n "$AWK"; then - echo "$as_me:3551: result: $AWK" >&5 + echo "$as_me:3554: result: $AWK" >&5 echo "${ECHO_T}$AWK" >&6 else - echo "$as_me:3554: result: no" >&5 + echo "$as_me:3557: result: no" >&5 echo "${ECHO_T}no" >&6 fi @@ -3561,7 +3564,7 @@ if test -n "$ac_tool_prefix"; then # Extract the first word of "${ac_tool_prefix}ranlib", so it can be a program name with args. set dummy ${ac_tool_prefix}ranlib; ac_word=$2 -echo "$as_me:3564: checking for $ac_word" >&5 +echo "$as_me:3567: checking for $ac_word" >&5 echo $ECHO_N "checking for $ac_word... $ECHO_C" >&6 if test "${ac_cv_prog_RANLIB+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -3576,7 +3579,7 @@ test -z "$ac_dir" && ac_dir=. $as_executable_p "$ac_dir/$ac_word" || continue ac_cv_prog_RANLIB="${ac_tool_prefix}ranlib" -echo "$as_me:3579: found $ac_dir/$ac_word" >&5 +echo "$as_me:3582: found $ac_dir/$ac_word" >&5 break done @@ -3584,10 +3587,10 @@ fi RANLIB=$ac_cv_prog_RANLIB if test -n "$RANLIB"; then - echo "$as_me:3587: result: $RANLIB" >&5 + echo "$as_me:3590: result: $RANLIB" >&5 echo "${ECHO_T}$RANLIB" >&6 else - echo "$as_me:3590: result: no" >&5 + echo "$as_me:3593: result: no" >&5 echo "${ECHO_T}no" >&6 fi @@ -3596,7 +3599,7 @@ ac_ct_RANLIB=$RANLIB # Extract the first word of "ranlib", so it can be a program name with args. set dummy ranlib; ac_word=$2 -echo "$as_me:3599: checking for $ac_word" >&5 +echo "$as_me:3602: checking for $ac_word" >&5 echo $ECHO_N "checking for $ac_word... $ECHO_C" >&6 if test "${ac_cv_prog_ac_ct_RANLIB+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -3611,7 +3614,7 @@ test -z "$ac_dir" && ac_dir=. $as_executable_p "$ac_dir/$ac_word" || continue ac_cv_prog_ac_ct_RANLIB="ranlib" -echo "$as_me:3614: found $ac_dir/$ac_word" >&5 +echo "$as_me:3617: found $ac_dir/$ac_word" >&5 break done @@ -3620,10 +3623,10 @@ fi ac_ct_RANLIB=$ac_cv_prog_ac_ct_RANLIB if test -n "$ac_ct_RANLIB"; then - echo "$as_me:3623: result: $ac_ct_RANLIB" >&5 + echo "$as_me:3626: result: $ac_ct_RANLIB" >&5 echo "${ECHO_T}$ac_ct_RANLIB" >&6 else - echo "$as_me:3626: result: no" >&5 + echo "$as_me:3629: result: no" >&5 echo "${ECHO_T}no" >&6 fi @@ -3636,10 +3639,10 @@ echo $ECHO_N "(cached) $ECHO_C" >&6 else -echo "$as_me:3639: checking for archiver (symbol AR)" >&5 +echo "$as_me:3642: checking for archiver (symbol AR)" >&5 echo $ECHO_N "checking for archiver (symbol AR)... $ECHO_C" >&6 test -z "$AR" && AR=ar -echo "$as_me:3642: result: $AR" >&5 +echo "$as_me:3645: result: $AR" >&5 echo "${ECHO_T}$AR" >&6 cf_cv_subst_AR=$AR @@ -3651,10 +3654,10 @@ echo $ECHO_N "(cached) $ECHO_C" >&6 else -echo "$as_me:3654: checking for archiver options (symbol AR_OPTS)" >&5 +echo "$as_me:3657: checking for archiver options (symbol AR_OPTS)" >&5 echo $ECHO_N "checking for archiver options (symbol AR_OPTS)... $ECHO_C" >&6 test -z "$AR_OPTS" && AR_OPTS=rv -echo "$as_me:3657: result: $AR_OPTS" >&5 +echo "$as_me:3660: result: $AR_OPTS" >&5 echo "${ECHO_T}$AR_OPTS" >&6 cf_cv_subst_AR_OPTS=$AR_OPTS @@ -3662,7 +3665,7 @@ AR_OPTS=${cf_cv_subst_AR_OPTS} -echo "$as_me:3665: checking if you want to see long compiling messages" >&5 +echo "$as_me:3668: checking if you want to see long compiling messages" >&5 echo $ECHO_N "checking if you want to see long compiling messages... $ECHO_C" >&6 # Check whether --enable-echo or --disable-echo was given. @@ -3696,7 +3699,7 @@ ECHO_CC='' fi; -echo "$as_me:3699: result: $enableval" >&5 +echo "$as_me:3702: result: $enableval" >&5 echo "${ECHO_T}$enableval" >&6 case $cf_cv_system_name in @@ -3715,7 +3718,7 @@ BUILTLIBS= BUILTSRCS= -echo "$as_me:3718: checking if you wish to build only core functions" >&5 +echo "$as_me:3721: checking if you wish to build only core functions" >&5 echo $ECHO_N "checking if you wish to build only core functions... $ECHO_C" >&6 # Check whether --enable-extensions or --disable-extensions was given. @@ -3732,7 +3735,7 @@ DISABLE_EXTS=no fi; -echo "$as_me:3735: result: $DISABLE_EXTS" >&5 +echo "$as_me:3738: result: $DISABLE_EXTS" >&5 echo "${ECHO_T}$DISABLE_EXTS" >&6 if test "$DISABLE_EXTS" = yes ; then cat >>confdefs.h <<\EOF @@ -3749,7 +3752,7 @@ cf_extensions=yes fi -echo "$as_me:3752: checking if you wish to disable shell/external commands" >&5 +echo "$as_me:3755: checking if you wish to disable shell/external commands" >&5 echo $ECHO_N "checking if you wish to disable shell/external commands... $ECHO_C" >&6 # Check whether --enable-shell or --disable-shell was given. @@ -3766,7 +3769,7 @@ DISABLE_SHELL=no fi; -echo "$as_me:3769: result: $DISABLE_SHELL" >&5 +echo "$as_me:3772: result: $DISABLE_SHELL" >&5 echo "${ECHO_T}$DISABLE_SHELL" >&6 if test "$DISABLE_SHELL" = yes ; then cat >>confdefs.h <<\EOF @@ -3778,7 +3781,7 @@ fi ### use option --with-cflags to set default CFLAGS -echo "$as_me:3781: checking for CFLAGS options" >&5 +echo "$as_me:3784: checking for CFLAGS options" >&5 echo $ECHO_N "checking for CFLAGS options... $ECHO_C" >&6 # Check whether --with-CFLAGS or --without-CFLAGS was given. @@ -3802,10 +3805,10 @@ elif test -z "$CFLAGS" ; then CFLAGS="-O" fi -echo "$as_me:3805: result: $cflags" >&5 +echo "$as_me:3808: result: $cflags" >&5 echo "${ECHO_T}$cflags" >&6 -echo "$as_me:3808: checking if you want to compile-in plugin support" >&5 +echo "$as_me:3811: checking if you want to compile-in plugin support" >&5 echo $ECHO_N "checking if you want to compile-in plugin support... $ECHO_C" >&6 # Check whether --enable-plugins or --disable-plugins was given. @@ -3822,10 +3825,10 @@ with_plugins=no fi; -echo "$as_me:3825: result: $with_plugins" >&5 +echo "$as_me:3828: result: $with_plugins" >&5 echo "${ECHO_T}$with_plugins" >&6 -echo "$as_me:3828: checking if you want to build syntax filters" >&5 +echo "$as_me:3831: checking if you want to build syntax filters" >&5 echo $ECHO_N "checking if you want to build syntax filters... $ECHO_C" >&6 # Check whether --enable-filters or --disable-filters was given. @@ -3842,7 +3845,7 @@ with_filters=yes fi; -echo "$as_me:3845: result: $with_filters" >&5 +echo "$as_me:3848: result: $with_filters" >&5 echo "${ECHO_T}$with_filters" >&6 MAKE_FILTERS= @@ -3854,7 +3857,7 @@ : ${VILE_LOADABLE_FILTERS=none} : ${VILE_EXTERNAL_FILTERS=all} -echo "$as_me:3857: checking if you want built-in syntax filters" >&5 +echo "$as_me:3860: checking if you want built-in syntax filters" >&5 echo $ECHO_N "checking if you want built-in syntax filters... $ECHO_C" >&6 # Check whether --with-builtin-filters or --without-builtin-filters was given. @@ -3865,10 +3868,10 @@ VILE_BUILT_IN_FILTERS=none fi; test "$VILE_BUILT_IN_FILTERS" = no && VILE_BUILT_IN_FILTERS=none -echo "$as_me:3868: result: $VILE_BUILT_IN_FILTERS" >&5 +echo "$as_me:3871: result: $VILE_BUILT_IN_FILTERS" >&5 echo "${ECHO_T}$VILE_BUILT_IN_FILTERS" >&6 -echo "$as_me:3871: checking if you want loadable syntax filters" >&5 +echo "$as_me:3874: checking if you want loadable syntax filters" >&5 echo $ECHO_N "checking if you want loadable syntax filters... $ECHO_C" >&6 # Check whether --with-loadable-filters or --without-loadable-filters was given. @@ -3879,7 +3882,7 @@ VILE_LOADABLE_FILTERS=none fi; test "$VILE_LOADABLE_FILTERS" = no && VILE_LOADABLE_FILTERS=none -echo "$as_me:3882: result: $VILE_LOADABLE_FILTERS" >&5 +echo "$as_me:3885: result: $VILE_LOADABLE_FILTERS" >&5 echo "${ECHO_T}$VILE_LOADABLE_FILTERS" >&6 # If both "--with-builtin-filters" and "--with-loadable-filters" were given, @@ -3897,7 +3900,7 @@ do if test "$cf_b" = "$cf_l" then - { { echo "$as_me:3900: error: Cannot overlap built-in and loadable filter lists" >&5 + { { echo "$as_me:3903: error: Cannot overlap built-in and loadable filter lists" >&5 echo "$as_me: error: Cannot overlap built-in and loadable filter lists" >&2;} { (exit 1); exit 1; }; } fi @@ -3940,7 +3943,7 @@ test -z "$VILE_EXTERNAL_FILTERS" && VILE_EXTERNAL_FILTERS=none fi -echo "$as_me:3943: checking if any filters require flex" >&5 +echo "$as_me:3946: checking if any filters require flex" >&5 echo $ECHO_N "checking if any filters require flex... $ECHO_C" >&6 builtins=`sh $srcdir/filters/makelist.sh $srcdir/filters/genmake.mak l "$VILE_BUILT_IN_FILTERS"` @@ -3953,48 +3956,48 @@ else cf_needflex=no fi -echo "$as_me:3956: result: $cf_needflex" >&5 +echo "$as_me:3959: result: $cf_needflex" >&5 echo "${ECHO_T}$cf_needflex" >&6 if test "$cf_needflex" = yes ; then test -z "$LEX" && LEX=lex -echo "$as_me:3962: checking if $LEX is really flex" >&5 +echo "$as_me:3965: checking if $LEX is really flex" >&5 echo $ECHO_N "checking if $LEX is really flex... $ECHO_C" >&6 if ( $LEX '-?' 2>&1 |fgrep flex >/dev/null ) then - echo "$as_me:3966: result: yes" >&5 + echo "$as_me:3969: result: yes" >&5 echo "${ECHO_T}yes" >&6 - echo "$as_me:3968: checking version of $LEX" >&5 + echo "$as_me:3971: checking version of $LEX" >&5 echo $ECHO_N "checking version of $LEX... $ECHO_C" >&6 LEX_VERSION=`$LEX --version 2>&1 | sed -e 's/^.* //;s/^[^0-9]*//'` - echo "$as_me:3971: result: $LEX_VERSION" >&5 + echo "$as_me:3974: result: $LEX_VERSION" >&5 echo "${ECHO_T}$LEX_VERSION" >&6 else - echo "$as_me:3974: result: no" >&5 + echo "$as_me:3977: result: no" >&5 echo "${ECHO_T}no" >&6 fi if test -z "$LEX_VERSION" then - { { echo "$as_me:3980: error: You need flex to compile builtin filters" >&5 + { { echo "$as_me:3983: error: You need flex to compile builtin filters" >&5 echo "$as_me: error: You need flex to compile builtin filters" >&2;} { (exit 1); exit 1; }; } elif ( expr $LEX_VERSION \< 2.5 >/dev/null ) then - { { echo "$as_me:3985: error: Sorry - your version of flex is too old: $LEX_VERSION" >&5 + { { echo "$as_me:3988: error: Sorry - your version of flex is too old: $LEX_VERSION" >&5 echo "$as_me: error: Sorry - your version of flex is too old: $LEX_VERSION" >&2;} { (exit 1); exit 1; }; } elif ( expr $LEX_VERSION \>= 2.6 >/dev/null ) then - { { echo "$as_me:3990: error: Sorry - your version of flex is too unstable: $LEX_VERSION" >&5 + { { echo "$as_me:3993: error: Sorry - your version of flex is too unstable: $LEX_VERSION" >&5 echo "$as_me: error: Sorry - your version of flex is too unstable: $LEX_VERSION" >&2;} { (exit 1); exit 1; }; } else LEX_SUBVERSION=`echo "$LEX_VERSION" | sed -e 's/^2.5.//'` if test -z "$LEX_SUBVERSION" then - { { echo "$as_me:3997: error: This version of flex cannot compile builtin filters" >&5 + { { echo "$as_me:4000: error: This version of flex cannot compile builtin filters" >&5 echo "$as_me: error: This version of flex cannot compile builtin filters" >&2;} { (exit 1); exit 1; }; } elif test $LEX_SUBVERSION = 4a @@ -4003,7 +4006,7 @@ elif ( expr $LEX_SUBVERSION \> 4 >/dev/null ) then - { { echo "$as_me:4006: error: This version of flex cannot compile builtin filters" >&5 + { { echo "$as_me:4009: error: This version of flex cannot compile builtin filters" >&5 echo "$as_me: error: This version of flex cannot compile builtin filters" >&2;} { (exit 1); exit 1; }; } fi @@ -4018,7 +4021,7 @@ MAKE_FILTERS="#" fi -echo "$as_me:4021: checking for library path" >&5 +echo "$as_me:4024: checking for library path" >&5 echo $ECHO_N "checking for library path... $ECHO_C" >&6 # Check whether --with-libdir-path or --without-libdir-path was given. @@ -4052,7 +4055,7 @@ cf_src_path=`echo $cf_src_path | sed -e s%NONE%$ac_default_prefix%` ;; *) - { { echo "$as_me:4055: error: expected a pathname, not \"$cf_src_path\"" >&5 + { { echo "$as_me:4058: error: expected a pathname, not \"$cf_src_path\"" >&5 echo "$as_me: error: expected a pathname, not \"$cf_src_path\"" >&2;} { (exit 1); exit 1; }; } ;; @@ -4074,10 +4077,10 @@ eval 'VILE_LIBDIR_PATH="$cf_dst_path"' -echo "$as_me:4077: result: $VILE_LIBDIR_PATH" >&5 +echo "$as_me:4080: result: $VILE_LIBDIR_PATH" >&5 echo "${ECHO_T}$VILE_LIBDIR_PATH" >&6 -echo "$as_me:4080: checking for startup path" >&5 +echo "$as_me:4083: checking for startup path" >&5 echo $ECHO_N "checking for startup path... $ECHO_C" >&6 # Check whether --with-startup-path or --without-startup-path was given. @@ -4111,7 +4114,7 @@ cf_src_path=`echo $cf_src_path | sed -e s%NONE%$ac_default_prefix%` ;; *) - { { echo "$as_me:4114: error: expected a pathname, not \"$cf_src_path\"" >&5 + { { echo "$as_me:4117: error: expected a pathname, not \"$cf_src_path\"" >&5 echo "$as_me: error: expected a pathname, not \"$cf_src_path\"" >&2;} { (exit 1); exit 1; }; } ;; @@ -4133,10 +4136,37 @@ eval 'VILE_STARTUP_PATH="$cf_dst_path"' -echo "$as_me:4136: result: $VILE_STARTUP_PATH" >&5 +echo "$as_me:4139: result: $VILE_STARTUP_PATH" >&5 echo "${ECHO_T}$VILE_STARTUP_PATH" >&6 -echo "$as_me:4139: checking if $LEX supports states" >&5 +echo "$as_me:4142: checking if $LEX supports character classes" >&5 +echo $ECHO_N "checking if $LEX supports character classes... $ECHO_C" >&6 +cat >conftest.l <<CF_EOF +IDENT [[:alpha:]][[:alnum:]]+ +DATE "#"[[:blank:]][[:alnum:]\,:./]+"#" +%% +{IDENT} { ECHO; } +{DATE} { ECHO; } +CF_EOF +cf_lex_char_classes="$LEX conftest.l 1>&5" +if { (eval echo "$as_me:4152: \"$cf_lex_char_classes\"") >&5 + (eval $cf_lex_char_classes) 2>&5 + ac_status=$? + echo "$as_me:4155: \$? = $ac_status" >&5 + (exit $ac_status); }; then + LEX_CHAR_CLASSES=yes +else + LEX_CHAR_CLASSES=no +fi +echo "$as_me:4161: result: $LEX_CHAR_CLASSES" >&5 +echo "${ECHO_T}$LEX_CHAR_CLASSES" >&6 +rm -f conftest.* $LEX_OUTPUT_ROOT.c +if test "$LEX_CHAR_CLASSES" != yes ; then + { echo "$as_me:4165: WARNING: Your $LEX program does not support character classes. Get flex." >&5 +echo "$as_me: WARNING: Your $LEX program does not support character classes. Get flex." >&2;} +fi + +echo "$as_me:4169: checking if $LEX supports states" >&5 echo $ECHO_N "checking if $LEX supports states... $ECHO_C" >&6 cat >conftest.l <<CF_EOF %s X Y Z @@ -4146,26 +4176,32 @@ nothing ECHO; CF_EOF cf_lex_states="$LEX conftest.l 1>&5" -if { (eval echo "$as_me:4149: \"$cf_lex_states\"") >&5 +if { (eval echo "$as_me:4179: \"$cf_lex_states\"") >&5 (eval $cf_lex_states) 2>&5 ac_status=$? - echo "$as_me:4152: \$? = $ac_status" >&5 + echo "$as_me:4182: \$? = $ac_status" >&5 (exit $ac_status); }; then cf_lex_states=yes else cf_lex_states=no fi -echo "$as_me:4158: result: $cf_lex_states" >&5 +echo "$as_me:4188: result: $cf_lex_states" >&5 echo "${ECHO_T}$cf_lex_states" >&6 rm -f conftest.* $LEX_OUTPUT_ROOT.c MAKE_LEX= if test "$cf_lex_states" != yes ; then - { echo "$as_me:4163: WARNING: Your $LEX program does not support states. Get flex." >&5 + { echo "$as_me:4193: WARNING: Your $LEX program does not support states. Get flex." >&5 echo "$as_me: WARNING: Your $LEX program does not support states. Get flex." >&2;} MAKE_LEX="#" fi -echo "$as_me:4168: checking if you want to use perl as an extension language" >&5 +if test "$LEX_CHAR_CLASSES" = yes ; then + LEX_CHAR_CLASSES= +else + LEX_CHAR_CLASSES='sh $(srcdir)/noclass.sh ' +fi + +echo "$as_me:4204: checking if you want to use perl as an extension language" >&5 echo $ECHO_N "checking if you want to use perl as an extension language... $ECHO_C" >&6 # Check whether --with-perl or --without-perl was given. @@ -4175,16 +4211,16 @@ else with_perl=no fi; -echo "$as_me:4178: result: $with_perl" >&5 +echo "$as_me:4214: result: $with_perl" >&5 echo "${ECHO_T}$with_perl" >&6 if test "$with_perl" = yes ; then # find perl binary -echo "$as_me:4182: checking for perl-5.004" >&5 +echo "$as_me:4218: checking for perl-5.004" >&5 echo $ECHO_N "checking for perl-5.004... $ECHO_C" >&6 if test "${cf_cv_prog_PERL+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else - echo "configure:4187: ...version 5.004 required" >&5 + echo "configure:4223: ...version 5.004 required" >&5 # allow user to override if test -n "$PERL"; then cf_try="$PERL" @@ -4194,7 +4230,7 @@ cf_version=`echo '[]'|sed -e 's/^./$/'` for cf_prog in $cf_try; do - echo "configure:4197: trying $cf_prog" >&5 + echo "configure:4233: trying $cf_prog" >&5 if ($cf_prog -e 'printf "found version %g\n",'$cf_version';exit('$cf_version'<5.004)') 1>&5 2>&1; then cf_cv_prog_PERL=$cf_prog break @@ -4203,15 +4239,15 @@ fi PERL="$cf_cv_prog_PERL" if test -n "$PERL"; then - echo "$as_me:4206: result: $PERL" >&5 + echo "$as_me:4242: result: $PERL" >&5 echo "${ECHO_T}$PERL" >&6 else - echo "$as_me:4209: result: no" >&5 + echo "$as_me:4245: result: no" >&5 echo "${ECHO_T}no" >&6 fi if test "$PERL" = no; then - { { echo "$as_me:4214: error: perl not found" >&5 + { { echo "$as_me:4250: error: perl not found" >&5 echo "$as_me: error: perl not found" >&2;} { (exit 1); exit 1; }; } fi @@ -4303,7 +4339,7 @@ if test "$cf_check_cflags" != "$CFLAGS" ; then cat >conftest.$ac_ext <<_ACEOF -#line 4306 "configure" +#line 4342 "configure" #include "confdefs.h" #include <stdio.h> int @@ -4315,16 +4351,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:4318: \"$ac_link\"") >&5 +if { (eval echo "$as_me:4354: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:4321: \$? = $ac_status" >&5 + echo "$as_me:4357: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:4324: \"$ac_try\"") >&5 + { (eval echo "$as_me:4360: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:4327: \$? = $ac_status" >&5 + echo "$as_me:4363: \$? = $ac_status" >&5 (exit $ac_status); }; }; then : else @@ -4349,13 +4385,13 @@ # X11 checks will sometimes add to CFLAGS. We want the state of CFLAGS # prior to these checks -echo "$as_me:4352: checking for getpwnam" >&5 +echo "$as_me:4388: checking for getpwnam" >&5 echo $ECHO_N "checking for getpwnam... $ECHO_C" >&6 if test "${ac_cv_func_getpwnam+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 4358 "configure" +#line 4394 "configure" #include "confdefs.h" /* System header to define __stub macros and hopefully few prototypes, which can conflict with char getpwnam (); below. */ @@ -4386,16 +4422,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:4389: \"$ac_link\"") >&5 +if { (eval echo "$as_me:4425: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:4392: \$? = $ac_status" >&5 + echo "$as_me:4428: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:4395: \"$ac_try\"") >&5 + { (eval echo "$as_me:4431: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:4398: \$? = $ac_status" >&5 + echo "$as_me:4434: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_func_getpwnam=yes else @@ -4405,13 +4441,13 @@ fi rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext fi -echo "$as_me:4408: result: $ac_cv_func_getpwnam" >&5 +echo "$as_me:4444: result: $ac_cv_func_getpwnam" >&5 echo "${ECHO_T}$ac_cv_func_getpwnam" >&6 if test $ac_cv_func_getpwnam = yes; then : else -echo "$as_me:4414: checking for getpwnam in -lsun" >&5 +echo "$as_me:4450: checking for getpwnam in -lsun" >&5 echo $ECHO_N "checking for getpwnam in -lsun... $ECHO_C" >&6 if test "${ac_cv_lib_sun_getpwnam+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -4419,7 +4455,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lsun $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 4422 "configure" +#line 4458 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -4438,16 +4474,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:4441: \"$ac_link\"") >&5 +if { (eval echo "$as_me:4477: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:4444: \$? = $ac_status" >&5 + echo "$as_me:4480: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:4447: \"$ac_try\"") >&5 + { (eval echo "$as_me:4483: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:4450: \$? = $ac_status" >&5 + echo "$as_me:4486: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_sun_getpwnam=yes else @@ -4458,7 +4494,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:4461: result: $ac_cv_lib_sun_getpwnam" >&5 +echo "$as_me:4497: result: $ac_cv_lib_sun_getpwnam" >&5 echo "${ECHO_T}$ac_cv_lib_sun_getpwnam" >&6 if test $ac_cv_lib_sun_getpwnam = yes; then cat >>confdefs.h <<EOF @@ -4476,7 +4512,7 @@ do # Extract the first word of "$ac_prog", so it can be a program name with args. set dummy $ac_prog; ac_word=$2 -echo "$as_me:4479: checking for $ac_word" >&5 +echo "$as_me:4515: checking for $ac_word" >&5 echo $ECHO_N "checking for $ac_word... $ECHO_C" >&6 if test "${ac_cv_prog_LINT+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -4491,7 +4527,7 @@ test -z "$ac_dir" && ac_dir=. $as_executable_p "$ac_dir/$ac_word" || continue ac_cv_prog_LINT="$ac_prog" -echo "$as_me:4494: found $ac_dir/$ac_word" >&5 +echo "$as_me:4530: found $ac_dir/$ac_word" >&5 break done @@ -4499,10 +4535,10 @@ fi LINT=$ac_cv_prog_LINT if test -n "$LINT"; then - echo "$as_me:4502: result: $LINT" >&5 + echo "$as_me:4538: result: $LINT" >&5 echo "${ECHO_T}$LINT" >&6 else - echo "$as_me:4505: result: no" >&5 + echo "$as_me:4541: result: no" >&5 echo "${ECHO_T}no" >&6 fi @@ -4518,13 +4554,13 @@ fi ### checks for header files -echo "$as_me:4521: checking for ANSI C header files" >&5 +echo "$as_me:4557: checking for ANSI C header files" >&5 echo $ECHO_N "checking for ANSI C header files... $ECHO_C" >&6 if test "${ac_cv_header_stdc+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 4527 "configure" +#line 4563 "configure" #include "confdefs.h" #include <stdlib.h> #include <stdarg.h> @@ -4532,13 +4568,13 @@ #include <float.h> _ACEOF -if { (eval echo "$as_me:4535: \"$ac_cpp conftest.$ac_ext\"") >&5 +if { (eval echo "$as_me:4571: \"$ac_cpp conftest.$ac_ext\"") >&5 (eval $ac_cpp conftest.$ac_ext) 2>conftest.er1 ac_status=$? egrep -v '^ *\+' conftest.er1 >conftest.err rm -f conftest.er1 cat conftest.err >&5 - echo "$as_me:4541: \$? = $ac_status" >&5 + echo "$as_me:4577: \$? = $ac_status" >&5 (exit $ac_status); } >/dev/null; then if test -s conftest.err; then ac_cpp_err=$ac_c_preproc_warn_flag @@ -4560,7 +4596,7 @@ if test $ac_cv_header_stdc = yes; then # SunOS 4.x string.h does not declare mem*, contrary to ANSI. cat >conftest.$ac_ext <<_ACEOF -#line 4563 "configure" +#line 4599 "configure" #include "confdefs.h" #include <string.h> @@ -4578,7 +4614,7 @@ if test $ac_cv_header_stdc = yes; then # ISC 2.0.2 stdlib.h does not declare free, contrary to ANSI. cat >conftest.$ac_ext <<_ACEOF -#line 4581 "configure" +#line 4617 "configure" #include "confdefs.h" #include <stdlib.h> @@ -4599,7 +4635,7 @@ : else cat >conftest.$ac_ext <<_ACEOF -#line 4602 "configure" +#line 4638 "configure" #include "confdefs.h" #include <ctype.h> #if ((' ' & 0x0FF) == 0x020) @@ -4625,15 +4661,15 @@ } _ACEOF rm -f conftest$ac_exeext -if { (eval echo "$as_me:4628: \"$ac_link\"") >&5 +if { (eval echo "$as_me:4664: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:4631: \$? = $ac_status" >&5 + echo "$as_me:4667: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='./conftest$ac_exeext' - { (eval echo "$as_me:4633: \"$ac_try\"") >&5 + { (eval echo "$as_me:4669: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:4636: \$? = $ac_status" >&5 + echo "$as_me:4672: \$? = $ac_status" >&5 (exit $ac_status); }; }; then : else @@ -4646,7 +4682,7 @@ fi fi fi -echo "$as_me:4649: result: $ac_cv_header_stdc" >&5 +echo "$as_me:4685: result: $ac_cv_header_stdc" >&5 echo "${ECHO_T}$ac_cv_header_stdc" >&6 if test $ac_cv_header_stdc = yes; then @@ -4659,13 +4695,13 @@ ac_header_dirent=no for ac_hdr in dirent.h sys/ndir.h sys/dir.h ndir.h; do as_ac_Header=`echo "ac_cv_header_dirent_$ac_hdr" | $as_tr_sh` -echo "$as_me:4662: checking for $ac_hdr that defines DIR" >&5 +echo "$as_me:4698: checking for $ac_hdr that defines DIR" >&5 echo $ECHO_N "checking for $ac_hdr that defines DIR... $ECHO_C" >&6 if eval "test \"\${$as_ac_Header+set}\" = set"; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 4668 "configure" +#line 4704 "configure" #include "confdefs.h" #include <sys/types.h> #include <$ac_hdr> @@ -4680,16 +4716,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:4683: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:4719: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:4686: \$? = $ac_status" >&5 + echo "$as_me:4722: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:4689: \"$ac_try\"") >&5 + { (eval echo "$as_me:4725: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:4692: \$? = $ac_status" >&5 + echo "$as_me:4728: \$? = $ac_status" >&5 (exit $ac_status); }; }; then eval "$as_ac_Header=yes" else @@ -4699,7 +4735,7 @@ fi rm -f conftest.$ac_objext conftest.$ac_ext fi -echo "$as_me:4702: result: `eval echo '${'$as_ac_Header'}'`" >&5 +echo "$as_me:4738: result: `eval echo '${'$as_ac_Header'}'`" >&5 echo "${ECHO_T}`eval echo '${'$as_ac_Header'}'`" >&6 if test `eval echo '${'$as_ac_Header'}'` = yes; then cat >>confdefs.h <<EOF @@ -4712,7 +4748,7 @@ done # Two versions of opendir et al. are in -ldir and -lx on SCO Xenix. if test $ac_header_dirent = dirent.h; then - echo "$as_me:4715: checking for opendir in -ldir" >&5 + echo "$as_me:4751: checking for opendir in -ldir" >&5 echo $ECHO_N "checking for opendir in -ldir... $ECHO_C" >&6 if test "${ac_cv_lib_dir_opendir+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -4720,7 +4756,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-ldir $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 4723 "configure" +#line 4759 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -4739,16 +4775,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:4742: \"$ac_link\"") >&5 +if { (eval echo "$as_me:4778: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:4745: \$? = $ac_status" >&5 + echo "$as_me:4781: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:4748: \"$ac_try\"") >&5 + { (eval echo "$as_me:4784: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:4751: \$? = $ac_status" >&5 + echo "$as_me:4787: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_dir_opendir=yes else @@ -4759,14 +4795,14 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:4762: result: $ac_cv_lib_dir_opendir" >&5 +echo "$as_me:4798: result: $ac_cv_lib_dir_opendir" >&5 echo "${ECHO_T}$ac_cv_lib_dir_opendir" >&6 if test $ac_cv_lib_dir_opendir = yes; then LIBS="$LIBS -ldir" fi else - echo "$as_me:4769: checking for opendir in -lx" >&5 + echo "$as_me:4805: checking for opendir in -lx" >&5 echo $ECHO_N "checking for opendir in -lx... $ECHO_C" >&6 if test "${ac_cv_lib_x_opendir+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -4774,7 +4810,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lx $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 4777 "configure" +#line 4813 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -4793,16 +4829,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:4796: \"$ac_link\"") >&5 +if { (eval echo "$as_me:4832: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:4799: \$? = $ac_status" >&5 + echo "$as_me:4835: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:4802: \"$ac_try\"") >&5 + { (eval echo "$as_me:4838: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:4805: \$? = $ac_status" >&5 + echo "$as_me:4841: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_x_opendir=yes else @@ -4813,7 +4849,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:4816: result: $ac_cv_lib_x_opendir" >&5 +echo "$as_me:4852: result: $ac_cv_lib_x_opendir" >&5 echo "${ECHO_T}$ac_cv_lib_x_opendir" >&6 if test $ac_cv_lib_x_opendir = yes; then LIBS="$LIBS -lx" @@ -4821,13 +4857,13 @@ fi - echo "$as_me:4824: checking for nl_langinfo and CODESET" >&5 + echo "$as_me:4860: checking for nl_langinfo and CODESET" >&5 echo $ECHO_N "checking for nl_langinfo and CODESET... $ECHO_C" >&6 if test "${am_cv_langinfo_codeset+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 4830 "configure" +#line 4866 "configure" #include "confdefs.h" #include <langinfo.h> int @@ -4839,16 +4875,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:4842: \"$ac_link\"") >&5 +if { (eval echo "$as_me:4878: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:4845: \$? = $ac_status" >&5 + echo "$as_me:4881: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:4848: \"$ac_try\"") >&5 + { (eval echo "$as_me:4884: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:4851: \$? = $ac_status" >&5 + echo "$as_me:4887: \$? = $ac_status" >&5 (exit $ac_status); }; }; then am_cv_langinfo_codeset=yes else @@ -4859,7 +4895,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext fi -echo "$as_me:4862: result: $am_cv_langinfo_codeset" >&5 +echo "$as_me:4898: result: $am_cv_langinfo_codeset" >&5 echo "${ECHO_T}$am_cv_langinfo_codeset" >&6 if test $am_cv_langinfo_codeset = yes; then @@ -4901,23 +4937,23 @@ do as_ac_Header=`echo "ac_cv_header_$ac_header" | $as_tr_sh` -echo "$as_me:4904: checking for $ac_header" >&5 +echo "$as_me:4940: checking for $ac_header" >&5 echo $ECHO_N "checking for $ac_header... $ECHO_C" >&6 if eval "test \"\${$as_ac_Header+set}\" = set"; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 4910 "configure" +#line 4946 "configure" #include "confdefs.h" #include <$ac_header> _ACEOF -if { (eval echo "$as_me:4914: \"$ac_cpp conftest.$ac_ext\"") >&5 +if { (eval echo "$as_me:4950: \"$ac_cpp conftest.$ac_ext\"") >&5 (eval $ac_cpp conftest.$ac_ext) 2>conftest.er1 ac_status=$? egrep -v '^ *\+' conftest.er1 >conftest.err rm -f conftest.er1 cat conftest.err >&5 - echo "$as_me:4920: \$? = $ac_status" >&5 + echo "$as_me:4956: \$? = $ac_status" >&5 (exit $ac_status); } >/dev/null; then if test -s conftest.err; then ac_cpp_err=$ac_c_preproc_warn_flag @@ -4936,7 +4972,7 @@ fi rm -f conftest.err conftest.$ac_ext fi -echo "$as_me:4939: result: `eval echo '${'$as_ac_Header'}'`" >&5 +echo "$as_me:4975: result: `eval echo '${'$as_ac_Header'}'`" >&5 echo "${ECHO_T}`eval echo '${'$as_ac_Header'}'`" >&6 if test `eval echo '${'$as_ac_Header'}'` = yes; then cat >>confdefs.h <<EOF @@ -4947,13 +4983,13 @@ done ### checks for typedefs -echo "$as_me:4950: checking return type of signal handlers" >&5 +echo "$as_me:4986: checking return type of signal handlers" >&5 echo $ECHO_N "checking return type of signal handlers... $ECHO_C" >&6 if test "${ac_cv_type_signal+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 4956 "configure" +#line 4992 "configure" #include "confdefs.h" #include <sys/types.h> #include <signal.h> @@ -4975,16 +5011,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:4978: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:5014: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:4981: \$? = $ac_status" >&5 + echo "$as_me:5017: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:4984: \"$ac_try\"") >&5 + { (eval echo "$as_me:5020: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:4987: \$? = $ac_status" >&5 + echo "$as_me:5023: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_type_signal=void else @@ -4994,7 +5030,7 @@ fi rm -f conftest.$ac_objext conftest.$ac_ext fi -echo "$as_me:4997: result: $ac_cv_type_signal" >&5 +echo "$as_me:5033: result: $ac_cv_type_signal" >&5 echo "${ECHO_T}$ac_cv_type_signal" >&6 cat >>confdefs.h <<EOF @@ -5023,28 +5059,28 @@ inttypes.h stdint.h unistd.h do as_ac_Header=`echo "ac_cv_header_$ac_header" | $as_tr_sh` -echo "$as_me:5026: checking for $ac_header" >&5 +echo "$as_me:5062: checking for $ac_header" >&5 echo $ECHO_N "checking for $ac_header... $ECHO_C" >&6 if eval "test \"\${$as_ac_Header+set}\" = set"; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 5032 "configure" +#line 5068 "configure" #include "confdefs.h" $ac_includes_default #include <$ac_header> _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:5038: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:5074: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:5041: \$? = $ac_status" >&5 + echo "$as_me:5077: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:5044: \"$ac_try\"") >&5 + { (eval echo "$as_me:5080: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:5047: \$? = $ac_status" >&5 + echo "$as_me:5083: \$? = $ac_status" >&5 (exit $ac_status); }; }; then eval "$as_ac_Header=yes" else @@ -5054,7 +5090,7 @@ fi rm -f conftest.$ac_objext conftest.$ac_ext fi -echo "$as_me:5057: result: `eval echo '${'$as_ac_Header'}'`" >&5 +echo "$as_me:5093: result: `eval echo '${'$as_ac_Header'}'`" >&5 echo "${ECHO_T}`eval echo '${'$as_ac_Header'}'`" >&6 if test `eval echo '${'$as_ac_Header'}'` = yes; then cat >>confdefs.h <<EOF @@ -5064,13 +5100,13 @@ fi done -echo "$as_me:5067: checking for size_t" >&5 +echo "$as_me:5103: checking for size_t" >&5 echo $ECHO_N "checking for size_t... $ECHO_C" >&6 if test "${ac_cv_type_size_t+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 5073 "configure" +#line 5109 "configure" #include "confdefs.h" $ac_includes_default int @@ -5085,16 +5121,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:5088: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:5124: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:5091: \$? = $ac_status" >&5 + echo "$as_me:5127: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:5094: \"$ac_try\"") >&5 + { (eval echo "$as_me:5130: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:5097: \$? = $ac_status" >&5 + echo "$as_me:5133: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_type_size_t=yes else @@ -5104,7 +5140,7 @@ fi rm -f conftest.$ac_objext conftest.$ac_ext fi -echo "$as_me:5107: result: $ac_cv_type_size_t" >&5 +echo "$as_me:5143: result: $ac_cv_type_size_t" >&5 echo "${ECHO_T}$ac_cv_type_size_t" >&6 if test $ac_cv_type_size_t = yes; then : @@ -5116,13 +5152,13 @@ fi -echo "$as_me:5119: checking for uid_t in sys/types.h" >&5 +echo "$as_me:5155: checking for uid_t in sys/types.h" >&5 echo $ECHO_N "checking for uid_t in sys/types.h... $ECHO_C" >&6 if test "${ac_cv_type_uid_t+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 5125 "configure" +#line 5161 "configure" #include "confdefs.h" #include <sys/types.h> @@ -5136,7 +5172,7 @@ rm -f conftest* fi -echo "$as_me:5139: result: $ac_cv_type_uid_t" >&5 +echo "$as_me:5175: result: $ac_cv_type_uid_t" >&5 echo "${ECHO_T}$ac_cv_type_uid_t" >&6 if test $ac_cv_type_uid_t = no; then @@ -5150,13 +5186,13 @@ fi -echo "$as_me:5153: checking for pid_t" >&5 +echo "$as_me:5189: checking for pid_t" >&5 echo $ECHO_N "checking for pid_t... $ECHO_C" >&6 if test "${ac_cv_type_pid_t+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 5159 "configure" +#line 5195 "configure" #include "confdefs.h" $ac_includes_default int @@ -5171,16 +5207,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:5174: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:5210: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:5177: \$? = $ac_status" >&5 + echo "$as_me:5213: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:5180: \"$ac_try\"") >&5 + { (eval echo "$as_me:5216: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:5183: \$? = $ac_status" >&5 + echo "$as_me:5219: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_type_pid_t=yes else @@ -5190,7 +5226,7 @@ fi rm -f conftest.$ac_objext conftest.$ac_ext fi -echo "$as_me:5193: result: $ac_cv_type_pid_t" >&5 +echo "$as_me:5229: result: $ac_cv_type_pid_t" >&5 echo "${ECHO_T}$ac_cv_type_pid_t" >&6 if test $ac_cv_type_pid_t = yes; then : @@ -5202,13 +5238,13 @@ fi -echo "$as_me:5205: checking for time_t" >&5 +echo "$as_me:5241: checking for time_t" >&5 echo $ECHO_N "checking for time_t... $ECHO_C" >&6 if test "${ac_cv_type_time_t+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 5211 "configure" +#line 5247 "configure" #include "confdefs.h" $ac_includes_default int @@ -5223,16 +5259,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:5226: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:5262: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:5229: \$? = $ac_status" >&5 + echo "$as_me:5265: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:5232: \"$ac_try\"") >&5 + { (eval echo "$as_me:5268: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:5235: \$? = $ac_status" >&5 + echo "$as_me:5271: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_type_time_t=yes else @@ -5242,7 +5278,7 @@ fi rm -f conftest.$ac_objext conftest.$ac_ext fi -echo "$as_me:5245: result: $ac_cv_type_time_t" >&5 +echo "$as_me:5281: result: $ac_cv_type_time_t" >&5 echo "${ECHO_T}$ac_cv_type_time_t" >&6 if test $ac_cv_type_time_t = yes; then : @@ -5256,18 +5292,18 @@ ### checks for library functions if test "$cross_compiling" = no; then - echo "$as_me:5259: checking whether setvbuf arguments are reversed" >&5 + echo "$as_me:5295: checking whether setvbuf arguments are reversed" >&5 echo $ECHO_N "checking whether setvbuf arguments are reversed... $ECHO_C" >&6 if test "${ac_cv_func_setvbuf_reversed+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else if test "$cross_compiling" = yes; then - { { echo "$as_me:5265: error: cannot run test program while cross compiling" >&5 + { { echo "$as_me:5301: error: cannot run test program while cross compiling" >&5 echo "$as_me: error: cannot run test program while cross compiling" >&2;} { (exit 1); exit 1; }; } else cat >conftest.$ac_ext <<_ACEOF -#line 5270 "configure" +#line 5306 "configure" #include "confdefs.h" #include <stdio.h> /* If setvbuf has the reversed format, exit 0. */ @@ -5284,15 +5320,15 @@ } _ACEOF rm -f conftest$ac_exeext -if { (eval echo "$as_me:5287: \"$ac_link\"") >&5 +if { (eval echo "$as_me:5323: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:5290: \$? = $ac_status" >&5 + echo "$as_me:5326: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='./conftest$ac_exeext' - { (eval echo "$as_me:5292: \"$ac_try\"") >&5 + { (eval echo "$as_me:5328: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:5295: \$? = $ac_status" >&5 + echo "$as_me:5331: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_func_setvbuf_reversed=yes else @@ -5305,7 +5341,7 @@ fi rm -f core core.* *.core fi -echo "$as_me:5308: result: $ac_cv_func_setvbuf_reversed" >&5 +echo "$as_me:5344: result: $ac_cv_func_setvbuf_reversed" >&5 echo "${ECHO_T}$ac_cv_func_setvbuf_reversed" >&6 if test $ac_cv_func_setvbuf_reversed = yes; then @@ -5318,13 +5354,13 @@ fi ### checks for structures -echo "$as_me:5321: checking whether stat file-mode macros are broken" >&5 +echo "$as_me:5357: checking whether stat file-mode macros are broken" >&5 echo $ECHO_N "checking whether stat file-mode macros are broken... $ECHO_C" >&6 if test "${ac_cv_header_stat_broken+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 5327 "configure" +#line 5363 "configure" #include "confdefs.h" #include <sys/types.h> #include <sys/stat.h> @@ -5363,7 +5399,7 @@ rm -f conftest* fi -echo "$as_me:5366: result: $ac_cv_header_stat_broken" >&5 +echo "$as_me:5402: result: $ac_cv_header_stat_broken" >&5 echo "${ECHO_T}$ac_cv_header_stat_broken" >&6 if test $ac_cv_header_stat_broken = yes; then @@ -5373,13 +5409,13 @@ fi -echo "$as_me:5376: checking for struct stat.st_blksize" >&5 +echo "$as_me:5412: checking for struct stat.st_blksize" >&5 echo $ECHO_N "checking for struct stat.st_blksize... $ECHO_C" >&6 if test "${ac_cv_member_struct_stat_st_blksize+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 5382 "configure" +#line 5418 "configure" #include "confdefs.h" $ac_includes_default int @@ -5393,16 +5429,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:5396: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:5432: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:5399: \$? = $ac_status" >&5 + echo "$as_me:5435: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:5402: \"$ac_try\"") >&5 + { (eval echo "$as_me:5438: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:5405: \$? = $ac_status" >&5 + echo "$as_me:5441: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_member_struct_stat_st_blksize=yes else @@ -5412,7 +5448,7 @@ fi rm -f conftest.$ac_objext conftest.$ac_ext fi -echo "$as_me:5415: result: $ac_cv_member_struct_stat_st_blksize" >&5 +echo "$as_me:5451: result: $ac_cv_member_struct_stat_st_blksize" >&5 echo "${ECHO_T}$ac_cv_member_struct_stat_st_blksize" >&6 if test $ac_cv_member_struct_stat_st_blksize = yes; then @@ -5426,13 +5462,13 @@ fi -echo "$as_me:5429: checking for struct stat.st_blocks" >&5 +echo "$as_me:5465: checking for struct stat.st_blocks" >&5 echo $ECHO_N "checking for struct stat.st_blocks... $ECHO_C" >&6 if test "${ac_cv_member_struct_stat_st_blocks+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 5435 "configure" +#line 5471 "configure" #include "confdefs.h" $ac_includes_default int @@ -5446,16 +5482,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:5449: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:5485: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:5452: \$? = $ac_status" >&5 + echo "$as_me:5488: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:5455: \"$ac_try\"") >&5 + { (eval echo "$as_me:5491: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:5458: \$? = $ac_status" >&5 + echo "$as_me:5494: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_member_struct_stat_st_blocks=yes else @@ -5465,7 +5501,7 @@ fi rm -f conftest.$ac_objext conftest.$ac_ext fi -echo "$as_me:5468: result: $ac_cv_member_struct_stat_st_blocks" >&5 +echo "$as_me:5504: result: $ac_cv_member_struct_stat_st_blocks" >&5 echo "${ECHO_T}$ac_cv_member_struct_stat_st_blocks" >&6 if test $ac_cv_member_struct_stat_st_blocks = yes; then @@ -5481,13 +5517,13 @@ LIBOBJS="$LIBOBJS fileblocks.$ac_objext" fi -echo "$as_me:5484: checking for struct stat.st_rdev" >&5 +echo "$as_me:5520: checking for struct stat.st_rdev" >&5 echo $ECHO_N "checking for struct stat.st_rdev... $ECHO_C" >&6 if test "${ac_cv_member_struct_stat_st_rdev+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 5490 "configure" +#line 5526 "configure" #include "confdefs.h" $ac_includes_default int @@ -5501,16 +5537,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:5504: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:5540: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:5507: \$? = $ac_status" >&5 + echo "$as_me:5543: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:5510: \"$ac_try\"") >&5 + { (eval echo "$as_me:5546: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:5513: \$? = $ac_status" >&5 + echo "$as_me:5549: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_member_struct_stat_st_rdev=yes else @@ -5520,7 +5556,7 @@ fi rm -f conftest.$ac_objext conftest.$ac_ext fi -echo "$as_me:5523: result: $ac_cv_member_struct_stat_st_rdev" >&5 +echo "$as_me:5559: result: $ac_cv_member_struct_stat_st_rdev" >&5 echo "${ECHO_T}$ac_cv_member_struct_stat_st_rdev" >&6 if test $ac_cv_member_struct_stat_st_rdev = yes; then @@ -5534,13 +5570,13 @@ fi -echo "$as_me:5537: checking whether struct tm is in sys/time.h or time.h" >&5 +echo "$as_me:5573: checking whether struct tm is in sys/time.h or time.h" >&5 echo $ECHO_N "checking whether struct tm is in sys/time.h or time.h... $ECHO_C" >&6 if test "${ac_cv_struct_tm+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 5543 "configure" +#line 5579 "configure" #include "confdefs.h" #include <sys/types.h> #include <time.h> @@ -5554,16 +5590,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:5557: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:5593: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:5560: \$? = $ac_status" >&5 + echo "$as_me:5596: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:5563: \"$ac_try\"") >&5 + { (eval echo "$as_me:5599: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:5566: \$? = $ac_status" >&5 + echo "$as_me:5602: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_struct_tm=time.h else @@ -5573,7 +5609,7 @@ fi rm -f conftest.$ac_objext conftest.$ac_ext fi -echo "$as_me:5576: result: $ac_cv_struct_tm" >&5 +echo "$as_me:5612: result: $ac_cv_struct_tm" >&5 echo "${ECHO_T}$ac_cv_struct_tm" >&6 if test $ac_cv_struct_tm = sys/time.h; then @@ -5583,13 +5619,13 @@ fi -echo "$as_me:5586: checking whether time.h and sys/time.h may both be included" >&5 +echo "$as_me:5622: checking whether time.h and sys/time.h may both be included" >&5 echo $ECHO_N "checking whether time.h and sys/time.h may both be included... $ECHO_C" >&6 if test "${ac_cv_header_time+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 5592 "configure" +#line 5628 "configure" #include "confdefs.h" #include <sys/types.h> #include <sys/time.h> @@ -5605,16 +5641,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:5608: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:5644: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:5611: \$? = $ac_status" >&5 + echo "$as_me:5647: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:5614: \"$ac_try\"") >&5 + { (eval echo "$as_me:5650: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:5617: \$? = $ac_status" >&5 + echo "$as_me:5653: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_header_time=yes else @@ -5624,7 +5660,7 @@ fi rm -f conftest.$ac_objext conftest.$ac_ext fi -echo "$as_me:5627: result: $ac_cv_header_time" >&5 +echo "$as_me:5663: result: $ac_cv_header_time" >&5 echo "${ECHO_T}$ac_cv_header_time" >&6 if test $ac_cv_header_time = yes; then @@ -5634,14 +5670,14 @@ fi -echo "$as_me:5637: checking if we can include select.h with time.h" >&5 +echo "$as_me:5673: checking if we can include select.h with time.h" >&5 echo $ECHO_N "checking if we can include select.h with time.h... $ECHO_C" >&6 if test "${cf_cv_select_with_time+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 5644 "configure" +#line 5680 "configure" #include "confdefs.h" #include <sys/types.h> @@ -5671,16 +5707,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:5674: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:5710: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:5677: \$? = $ac_status" >&5 + echo "$as_me:5713: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:5680: \"$ac_try\"") >&5 + { (eval echo "$as_me:5716: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:5683: \$? = $ac_status" >&5 + echo "$as_me:5719: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_select_with_time=yes else @@ -5691,20 +5727,20 @@ rm -f conftest.$ac_objext conftest.$ac_ext fi -echo "$as_me:5694: result: $cf_cv_select_with_time" >&5 +echo "$as_me:5730: result: $cf_cv_select_with_time" >&5 echo "${ECHO_T}$cf_cv_select_with_time" >&6 test $cf_cv_select_with_time = yes && cat >>confdefs.h <<\EOF #define SELECT_WITH_TIME 1 EOF -echo "$as_me:5700: checking if we may include sys/resource.h with sys/wait.h" >&5 +echo "$as_me:5736: checking if we may include sys/resource.h with sys/wait.h" >&5 echo $ECHO_N "checking if we may include sys/resource.h with sys/wait.h... $ECHO_C" >&6 if test "${cf_cv_resource_with_wait+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 5707 "configure" +#line 5743 "configure" #include "confdefs.h" #if defined(HAVE_SYS_TIME_H) && (defined(SELECT_WITH_TIME) || !(defined(HAVE_SELECT_H || defined(HAVE_SYS_SELECT_H)))) @@ -5728,16 +5764,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:5731: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:5767: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:5734: \$? = $ac_status" >&5 + echo "$as_me:5770: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:5737: \"$ac_try\"") >&5 + { (eval echo "$as_me:5773: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:5740: \$? = $ac_status" >&5 + echo "$as_me:5776: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_resource_with_wait=yes else @@ -5748,7 +5784,7 @@ rm -f conftest.$ac_objext conftest.$ac_ext fi -echo "$as_me:5751: result: $cf_cv_resource_with_wait" >&5 +echo "$as_me:5787: result: $cf_cv_resource_with_wait" >&5 echo "${ECHO_T}$cf_cv_resource_with_wait" >&6 test $cf_cv_resource_with_wait = yes && cat >>confdefs.h <<\EOF #define RESOURCE_WITH_WAIT 1 @@ -5761,23 +5797,23 @@ do as_ac_Header=`echo "ac_cv_header_$ac_header" | $as_tr_sh` -echo "$as_me:5764: checking for $ac_header" >&5 +echo "$as_me:5800: checking for $ac_header" >&5 echo $ECHO_N "checking for $ac_header... $ECHO_C" >&6 if eval "test \"\${$as_ac_Header+set}\" = set"; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 5770 "configure" +#line 5806 "configure" #include "confdefs.h" #include <$ac_header> _ACEOF -if { (eval echo "$as_me:5774: \"$ac_cpp conftest.$ac_ext\"") >&5 +if { (eval echo "$as_me:5810: \"$ac_cpp conftest.$ac_ext\"") >&5 (eval $ac_cpp conftest.$ac_ext) 2>conftest.er1 ac_status=$? egrep -v '^ *\+' conftest.er1 >conftest.err rm -f conftest.er1 cat conftest.err >&5 - echo "$as_me:5780: \$? = $ac_status" >&5 + echo "$as_me:5816: \$? = $ac_status" >&5 (exit $ac_status); } >/dev/null; then if test -s conftest.err; then ac_cpp_err=$ac_c_preproc_warn_flag @@ -5796,7 +5832,7 @@ fi rm -f conftest.err conftest.$ac_ext fi -echo "$as_me:5799: result: `eval echo '${'$as_ac_Header'}'`" >&5 +echo "$as_me:5835: result: `eval echo '${'$as_ac_Header'}'`" >&5 echo "${ECHO_T}`eval echo '${'$as_ac_Header'}'`" >&6 if test `eval echo '${'$as_ac_Header'}'` = yes; then cat >>confdefs.h <<EOF @@ -5811,23 +5847,23 @@ for ac_header in sys/termio.h do as_ac_Header=`echo "ac_cv_header_$ac_header" | $as_tr_sh` -echo "$as_me:5814: checking for $ac_header" >&5 +echo "$as_me:5850: checking for $ac_header" >&5 echo $ECHO_N "checking for $ac_header... $ECHO_C" >&6 if eval "test \"\${$as_ac_Header+set}\" = set"; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 5820 "configure" +#line 5856 "configure" #include "confdefs.h" #include <$ac_header> _ACEOF -if { (eval echo "$as_me:5824: \"$ac_cpp conftest.$ac_ext\"") >&5 +if { (eval echo "$as_me:5860: \"$ac_cpp conftest.$ac_ext\"") >&5 (eval $ac_cpp conftest.$ac_ext) 2>conftest.er1 ac_status=$? egrep -v '^ *\+' conftest.er1 >conftest.err rm -f conftest.er1 cat conftest.err >&5 - echo "$as_me:5830: \$? = $ac_status" >&5 + echo "$as_me:5866: \$? = $ac_status" >&5 (exit $ac_status); } >/dev/null; then if test -s conftest.err; then ac_cpp_err=$ac_c_preproc_warn_flag @@ -5846,7 +5882,7 @@ fi rm -f conftest.err conftest.$ac_ext fi -echo "$as_me:5849: result: `eval echo '${'$as_ac_Header'}'`" >&5 +echo "$as_me:5885: result: `eval echo '${'$as_ac_Header'}'`" >&5 echo "${ECHO_T}`eval echo '${'$as_ac_Header'}'`" >&6 if test `eval echo '${'$as_ac_Header'}'` = yes; then cat >>confdefs.h <<EOF @@ -5864,10 +5900,10 @@ *) termios_bad=maybe ;; esac if test "$termios_bad" = maybe ; then - echo "$as_me:5867: checking whether termios.h needs _POSIX_SOURCE" >&5 + echo "$as_me:5903: checking whether termios.h needs _POSIX_SOURCE" >&5 echo $ECHO_N "checking whether termios.h needs _POSIX_SOURCE... $ECHO_C" >&6 cat >conftest.$ac_ext <<_ACEOF -#line 5870 "configure" +#line 5906 "configure" #include "confdefs.h" #include <termios.h> int @@ -5879,16 +5915,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:5882: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:5918: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:5885: \$? = $ac_status" >&5 + echo "$as_me:5921: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:5888: \"$ac_try\"") >&5 + { (eval echo "$as_me:5924: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:5891: \$? = $ac_status" >&5 + echo "$as_me:5927: \$? = $ac_status" >&5 (exit $ac_status); }; }; then termios_bad=no else @@ -5896,7 +5932,7 @@ cat conftest.$ac_ext >&5 cat >conftest.$ac_ext <<_ACEOF -#line 5899 "configure" +#line 5935 "configure" #include "confdefs.h" #define _POSIX_SOURCE @@ -5910,16 +5946,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:5913: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:5949: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:5916: \$? = $ac_status" >&5 + echo "$as_me:5952: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:5919: \"$ac_try\"") >&5 + { (eval echo "$as_me:5955: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:5922: \$? = $ac_status" >&5 + echo "$as_me:5958: \$? = $ac_status" >&5 (exit $ac_status); }; }; then termios_bad=unknown else @@ -5934,12 +5970,12 @@ fi rm -f conftest.$ac_objext conftest.$ac_ext - echo "$as_me:5937: result: $termios_bad" >&5 + echo "$as_me:5973: result: $termios_bad" >&5 echo "${ECHO_T}$termios_bad" >&6 fi fi -echo "$as_me:5942: checking declaration of size-change" >&5 +echo "$as_me:5978: checking declaration of size-change" >&5 echo $ECHO_N "checking declaration of size-change... $ECHO_C" >&6 if test "${cf_cv_sizechange+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -5954,7 +5990,7 @@ CPPFLAGS="$cf_save_CPPFLAGS" test -n "$cf_opts" && CPPFLAGS="$CPPFLAGS -D$cf_opts" cat >conftest.$ac_ext <<_ACEOF -#line 5957 "configure" +#line 5993 "configure" #include "confdefs.h" #include <sys/types.h> #ifdef HAVE_TERMIOS_H @@ -5998,16 +6034,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:6001: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:6037: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:6004: \$? = $ac_status" >&5 + echo "$as_me:6040: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:6007: \"$ac_try\"") >&5 + { (eval echo "$as_me:6043: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:6010: \$? = $ac_status" >&5 + echo "$as_me:6046: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_sizechange=yes else @@ -6026,7 +6062,7 @@ done fi -echo "$as_me:6029: result: $cf_cv_sizechange" >&5 +echo "$as_me:6065: result: $cf_cv_sizechange" >&5 echo "${ECHO_T}$cf_cv_sizechange" >&6 if test "$cf_cv_sizechange" != no ; then cat >>confdefs.h <<\EOF @@ -6043,7 +6079,7 @@ esac fi -echo "$as_me:6046: checking for file-pointer ready definition" >&5 +echo "$as_me:6082: checking for file-pointer ready definition" >&5 echo $ECHO_N "checking for file-pointer ready definition... $ECHO_C" >&6 if test "${cf_cv_fp_isready+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -6057,7 +6093,7 @@ echo "test-compile $definition" 1>&5 cat >conftest.$ac_ext <<_ACEOF -#line 6060 "configure" +#line 6096 "configure" #include "confdefs.h" #include <stdio.h> @@ -6072,16 +6108,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:6075: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:6111: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:6078: \$? = $ac_status" >&5 + echo "$as_me:6114: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:6081: \"$ac_try\"") >&5 + { (eval echo "$as_me:6117: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:6084: \$? = $ac_status" >&5 + echo "$as_me:6120: \$? = $ac_status" >&5 (exit $ac_status); }; }; then echo "$definition" >conftest.env break @@ -6105,21 +6141,21 @@ test -f conftest.env && cf_cv_fp_isready=`cat conftest.env` fi -echo "$as_me:6108: result: $cf_cv_fp_isready" >&5 +echo "$as_me:6144: result: $cf_cv_fp_isready" >&5 echo "${ECHO_T}$cf_cv_fp_isready" >&6 test "$cf_cv_fp_isready" != none && cat >>confdefs.h <<EOF #define isready_c(p) $cf_cv_fp_isready EOF -echo "$as_me:6115: checking for <wctype.h> and functions" >&5 +echo "$as_me:6151: checking for <wctype.h> and functions" >&5 echo $ECHO_N "checking for <wctype.h> and functions... $ECHO_C" >&6 if test "${cf_cv_have_wctype+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 6122 "configure" +#line 6158 "configure" #include "confdefs.h" #include <wctype.h> @@ -6146,16 +6182,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:6149: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:6185: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:6152: \$? = $ac_status" >&5 + echo "$as_me:6188: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:6155: \"$ac_try\"") >&5 + { (eval echo "$as_me:6191: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:6158: \$? = $ac_status" >&5 + echo "$as_me:6194: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_have_wctype=yes else @@ -6166,10 +6202,10 @@ rm -f conftest.$ac_objext conftest.$ac_ext fi -echo "$as_me:6169: result: $cf_cv_have_wctype" >&5 +echo "$as_me:6205: result: $cf_cv_have_wctype" >&5 echo "${ECHO_T}$cf_cv_have_wctype" >&6 if test "$cf_cv_have_wctype" = yes ; then - echo "$as_me:6172: checking for library containing wctype" >&5 + echo "$as_me:6208: checking for library containing wctype" >&5 echo $ECHO_N "checking for library containing wctype... $ECHO_C" >&6 if test "${ac_cv_search_wctype+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -6177,7 +6213,7 @@ ac_func_search_save_LIBS=$LIBS ac_cv_search_wctype=no cat >conftest.$ac_ext <<_ACEOF -#line 6180 "configure" +#line 6216 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -6196,16 +6232,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:6199: \"$ac_link\"") >&5 +if { (eval echo "$as_me:6235: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:6202: \$? = $ac_status" >&5 + echo "$as_me:6238: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:6205: \"$ac_try\"") >&5 + { (eval echo "$as_me:6241: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:6208: \$? = $ac_status" >&5 + echo "$as_me:6244: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_search_wctype="none required" else @@ -6217,7 +6253,7 @@ for ac_lib in w; do LIBS="-l$ac_lib $ac_func_search_save_LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 6220 "configure" +#line 6256 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -6236,16 +6272,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:6239: \"$ac_link\"") >&5 +if { (eval echo "$as_me:6275: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:6242: \$? = $ac_status" >&5 + echo "$as_me:6278: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:6245: \"$ac_try\"") >&5 + { (eval echo "$as_me:6281: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:6248: \$? = $ac_status" >&5 + echo "$as_me:6284: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_search_wctype="-l$ac_lib" break @@ -6258,7 +6294,7 @@ fi LIBS=$ac_func_search_save_LIBS fi -echo "$as_me:6261: result: $ac_cv_search_wctype" >&5 +echo "$as_me:6297: result: $ac_cv_search_wctype" >&5 echo "${ECHO_T}$ac_cv_search_wctype" >&6 if test "$ac_cv_search_wctype" != no; then test "$ac_cv_search_wctype" = "none required" || LIBS="$ac_cv_search_wctype $LIBS" @@ -6271,7 +6307,7 @@ fi ### checks for system services and user specified options -echo "$as_me:6274: checking for long file names" >&5 +echo "$as_me:6310: checking for long file names" >&5 echo $ECHO_N "checking for long file names... $ECHO_C" >&6 if test "${ac_cv_sys_long_file_names+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -6310,7 +6346,7 @@ rm -rf $ac_xdir 2>/dev/null done fi -echo "$as_me:6313: result: $ac_cv_sys_long_file_names" >&5 +echo "$as_me:6349: result: $ac_cv_sys_long_file_names" >&5 echo "${ECHO_T}$ac_cv_sys_long_file_names" >&6 if test $ac_cv_sys_long_file_names = yes; then @@ -6320,7 +6356,7 @@ fi -echo "$as_me:6323: checking for restartable reads on pipes" >&5 +echo "$as_me:6359: checking for restartable reads on pipes" >&5 echo $ECHO_N "checking for restartable reads on pipes... $ECHO_C" >&6 if test "${cf_cv_can_restart_read+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -6330,7 +6366,7 @@ cf_cv_can_restart_read=unknown else cat >conftest.$ac_ext <<_ACEOF -#line 6333 "configure" +#line 6369 "configure" #include "confdefs.h" /* Exit 0 (true) if wait returns something other than -1, i.e. the pid of the child, which means that wait was restarted @@ -6377,15 +6413,15 @@ _ACEOF rm -f conftest$ac_exeext -if { (eval echo "$as_me:6380: \"$ac_link\"") >&5 +if { (eval echo "$as_me:6416: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:6383: \$? = $ac_status" >&5 + echo "$as_me:6419: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='./conftest$ac_exeext' - { (eval echo "$as_me:6385: \"$ac_try\"") >&5 + { (eval echo "$as_me:6421: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:6388: \$? = $ac_status" >&5 + echo "$as_me:6424: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_can_restart_read=yes else @@ -6398,7 +6434,7 @@ fi fi -echo "$as_me:6401: result: $cf_cv_can_restart_read" >&5 +echo "$as_me:6437: result: $cf_cv_can_restart_read" >&5 echo "${ECHO_T}$cf_cv_can_restart_read" >&6 test $cf_cv_can_restart_read = yes && cat >>confdefs.h <<\EOF @@ -6407,18 +6443,18 @@ # is a read() of a pipe restartable? -echo "$as_me:6410: checking whether setpgrp takes no argument" >&5 +echo "$as_me:6446: checking whether setpgrp takes no argument" >&5 echo $ECHO_N "checking whether setpgrp takes no argument... $ECHO_C" >&6 if test "${ac_cv_func_setpgrp_void+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else if test "$cross_compiling" = yes; then - { { echo "$as_me:6416: error: cannot check setpgrp if cross compiling" >&5 + { { echo "$as_me:6452: error: cannot check setpgrp if cross compiling" >&5 echo "$as_me: error: cannot check setpgrp if cross compiling" >&2;} { (exit 1); exit 1; }; } else cat >conftest.$ac_ext <<_ACEOF -#line 6421 "configure" +#line 6457 "configure" #include "confdefs.h" #if HAVE_UNISTD_H # include <unistd.h> @@ -6435,15 +6471,15 @@ } _ACEOF rm -f conftest$ac_exeext -if { (eval echo "$as_me:6438: \"$ac_link\"") >&5 +if { (eval echo "$as_me:6474: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:6441: \$? = $ac_status" >&5 + echo "$as_me:6477: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='./conftest$ac_exeext' - { (eval echo "$as_me:6443: \"$ac_try\"") >&5 + { (eval echo "$as_me:6479: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:6446: \$? = $ac_status" >&5 + echo "$as_me:6482: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_func_setpgrp_void=no else @@ -6455,7 +6491,7 @@ rm -f core core.* *.core conftest$ac_exeext conftest.$ac_objext conftest.$ac_ext fi fi -echo "$as_me:6458: result: $ac_cv_func_setpgrp_void" >&5 +echo "$as_me:6494: result: $ac_cv_func_setpgrp_void" >&5 echo "${ECHO_T}$ac_cv_func_setpgrp_void" >&6 if test $ac_cv_func_setpgrp_void = yes; then @@ -6465,14 +6501,14 @@ fi -echo "$as_me:6468: checking whether getpgrp takes no argument" >&5 +echo "$as_me:6504: checking whether getpgrp takes no argument" >&5 echo $ECHO_N "checking whether getpgrp takes no argument... $ECHO_C" >&6 if test "${ac_cv_func_getpgrp_void+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else # Use it with a single arg. cat >conftest.$ac_ext <<_ACEOF -#line 6475 "configure" +#line 6511 "configure" #include "confdefs.h" $ac_includes_default int @@ -6484,16 +6520,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:6487: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:6523: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:6490: \$? = $ac_status" >&5 + echo "$as_me:6526: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:6493: \"$ac_try\"") >&5 + { (eval echo "$as_me:6529: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:6496: \$? = $ac_status" >&5 + echo "$as_me:6532: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_func_getpgrp_1=yes else @@ -6504,7 +6540,7 @@ rm -f conftest.$ac_objext conftest.$ac_ext # Use it with no arg. cat >conftest.$ac_ext <<_ACEOF -#line 6507 "configure" +#line 6543 "configure" #include "confdefs.h" $ac_includes_default int @@ -6516,16 +6552,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:6519: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:6555: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:6522: \$? = $ac_status" >&5 + echo "$as_me:6558: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:6525: \"$ac_try\"") >&5 + { (eval echo "$as_me:6561: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:6528: \$? = $ac_status" >&5 + echo "$as_me:6564: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_func_getpgrp_0=yes else @@ -6539,12 +6575,12 @@ yes:no) ac_cv_func_getpgrp_void=yes;; no:yes) ac_cv_func_getpgrp_void=false;; *) if test "$cross_compiling" = yes; then - { { echo "$as_me:6542: error: cannot check getpgrp if cross compiling" >&5 + { { echo "$as_me:6578: error: cannot check getpgrp if cross compiling" >&5 echo "$as_me: error: cannot check getpgrp if cross compiling" >&2;} { (exit 1); exit 1; }; } else cat >conftest.$ac_ext <<_ACEOF -#line 6547 "configure" +#line 6583 "configure" #include "confdefs.h" $ac_includes_default @@ -6598,15 +6634,15 @@ _ACEOF rm -f conftest$ac_exeext -if { (eval echo "$as_me:6601: \"$ac_link\"") >&5 +if { (eval echo "$as_me:6637: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:6604: \$? = $ac_status" >&5 + echo "$as_me:6640: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='./conftest$ac_exeext' - { (eval echo "$as_me:6606: \"$ac_try\"") >&5 + { (eval echo "$as_me:6642: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:6609: \$? = $ac_status" >&5 + echo "$as_me:6645: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_func_getpgrp_void=yes else @@ -6620,7 +6656,7 @@ esac # $ac_func_getpgrp_0:$ac_func_getpgrp_1 fi -echo "$as_me:6623: result: $ac_cv_func_getpgrp_void" >&5 +echo "$as_me:6659: result: $ac_cv_func_getpgrp_void" >&5 echo "${ECHO_T}$ac_cv_func_getpgrp_void" >&6 if test $ac_cv_func_getpgrp_void = yes; then @@ -6630,7 +6666,7 @@ fi -echo "$as_me:6633: checking if killpg is needed" >&5 +echo "$as_me:6669: checking if killpg is needed" >&5 echo $ECHO_N "checking if killpg is needed... $ECHO_C" >&6 if test "${cf_cv_need_killpg+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -6640,7 +6676,7 @@ cf_cv_need_killpg=unknown else cat >conftest.$ac_ext <<_ACEOF -#line 6643 "configure" +#line 6679 "configure" #include "confdefs.h" #include <sys/types.h> @@ -6665,15 +6701,15 @@ } _ACEOF rm -f conftest$ac_exeext -if { (eval echo "$as_me:6668: \"$ac_link\"") >&5 +if { (eval echo "$as_me:6704: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:6671: \$? = $ac_status" >&5 + echo "$as_me:6707: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='./conftest$ac_exeext' - { (eval echo "$as_me:6673: \"$ac_try\"") >&5 + { (eval echo "$as_me:6709: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:6676: \$? = $ac_status" >&5 + echo "$as_me:6712: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_need_killpg=no else @@ -6686,21 +6722,21 @@ fi fi -echo "$as_me:6689: result: $cf_cv_need_killpg" >&5 +echo "$as_me:6725: result: $cf_cv_need_killpg" >&5 echo "${ECHO_T}$cf_cv_need_killpg" >&6 test $cf_cv_need_killpg = yes && cat >>confdefs.h <<\EOF #define HAVE_KILLPG 1 EOF -echo "$as_me:6696: checking if external errno is declared" >&5 +echo "$as_me:6732: checking if external errno is declared" >&5 echo $ECHO_N "checking if external errno is declared... $ECHO_C" >&6 if test "${cf_cv_dcl_errno+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 6703 "configure" +#line 6739 "configure" #include "confdefs.h" #ifdef HAVE_STDLIB_H @@ -6718,16 +6754,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:6721: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:6757: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:6724: \$? = $ac_status" >&5 + echo "$as_me:6760: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:6727: \"$ac_try\"") >&5 + { (eval echo "$as_me:6763: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:6730: \$? = $ac_status" >&5 + echo "$as_me:6766: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_dcl_errno=yes else @@ -6738,7 +6774,7 @@ rm -f conftest.$ac_objext conftest.$ac_ext fi -echo "$as_me:6741: result: $cf_cv_dcl_errno" >&5 +echo "$as_me:6777: result: $cf_cv_dcl_errno" >&5 echo "${ECHO_T}$cf_cv_dcl_errno" >&6 if test "$cf_cv_dcl_errno" = no ; then @@ -6753,14 +6789,14 @@ # It's possible (for near-UNIX clones) that the data doesn't exist -echo "$as_me:6756: checking if external errno exists" >&5 +echo "$as_me:6792: checking if external errno exists" >&5 echo $ECHO_N "checking if external errno exists... $ECHO_C" >&6 if test "${cf_cv_have_errno+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 6763 "configure" +#line 6799 "configure" #include "confdefs.h" #undef errno @@ -6775,16 +6811,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:6778: \"$ac_link\"") >&5 +if { (eval echo "$as_me:6814: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:6781: \$? = $ac_status" >&5 + echo "$as_me:6817: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:6784: \"$ac_try\"") >&5 + { (eval echo "$as_me:6820: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:6787: \$? = $ac_status" >&5 + echo "$as_me:6823: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_have_errno=yes else @@ -6795,7 +6831,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext fi -echo "$as_me:6798: result: $cf_cv_have_errno" >&5 +echo "$as_me:6834: result: $cf_cv_have_errno" >&5 echo "${ECHO_T}$cf_cv_have_errno" >&6 if test "$cf_cv_have_errno" = yes ; then @@ -6808,14 +6844,14 @@ fi -echo "$as_me:6811: checking if external sys_nerr is declared" >&5 +echo "$as_me:6847: checking if external sys_nerr is declared" >&5 echo $ECHO_N "checking if external sys_nerr is declared... $ECHO_C" >&6 if test "${cf_cv_dcl_sys_nerr+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 6818 "configure" +#line 6854 "configure" #include "confdefs.h" #ifdef HAVE_STDLIB_H @@ -6833,16 +6869,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:6836: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:6872: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:6839: \$? = $ac_status" >&5 + echo "$as_me:6875: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:6842: \"$ac_try\"") >&5 + { (eval echo "$as_me:6878: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:6845: \$? = $ac_status" >&5 + echo "$as_me:6881: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_dcl_sys_nerr=yes else @@ -6853,7 +6889,7 @@ rm -f conftest.$ac_objext conftest.$ac_ext fi -echo "$as_me:6856: result: $cf_cv_dcl_sys_nerr" >&5 +echo "$as_me:6892: result: $cf_cv_dcl_sys_nerr" >&5 echo "${ECHO_T}$cf_cv_dcl_sys_nerr" >&6 if test "$cf_cv_dcl_sys_nerr" = no ; then @@ -6868,14 +6904,14 @@ # It's possible (for near-UNIX clones) that the data doesn't exist -echo "$as_me:6871: checking if external sys_nerr exists" >&5 +echo "$as_me:6907: checking if external sys_nerr exists" >&5 echo $ECHO_N "checking if external sys_nerr exists... $ECHO_C" >&6 if test "${cf_cv_have_sys_nerr+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 6878 "configure" +#line 6914 "configure" #include "confdefs.h" #undef sys_nerr @@ -6890,16 +6926,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:6893: \"$ac_link\"") >&5 +if { (eval echo "$as_me:6929: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:6896: \$? = $ac_status" >&5 + echo "$as_me:6932: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:6899: \"$ac_try\"") >&5 + { (eval echo "$as_me:6935: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:6902: \$? = $ac_status" >&5 + echo "$as_me:6938: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_have_sys_nerr=yes else @@ -6910,7 +6946,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext fi -echo "$as_me:6913: result: $cf_cv_have_sys_nerr" >&5 +echo "$as_me:6949: result: $cf_cv_have_sys_nerr" >&5 echo "${ECHO_T}$cf_cv_have_sys_nerr" >&6 if test "$cf_cv_have_sys_nerr" = yes ; then @@ -6923,14 +6959,14 @@ fi -echo "$as_me:6926: checking if external sys_errlist is declared" >&5 +echo "$as_me:6962: checking if external sys_errlist is declared" >&5 echo $ECHO_N "checking if external sys_errlist is declared... $ECHO_C" >&6 if test "${cf_cv_dcl_sys_errlist+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 6933 "configure" +#line 6969 "configure" #include "confdefs.h" #ifdef HAVE_STDLIB_H @@ -6948,16 +6984,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:6951: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:6987: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:6954: \$? = $ac_status" >&5 + echo "$as_me:6990: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:6957: \"$ac_try\"") >&5 + { (eval echo "$as_me:6993: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:6960: \$? = $ac_status" >&5 + echo "$as_me:6996: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_dcl_sys_errlist=yes else @@ -6968,7 +7004,7 @@ rm -f conftest.$ac_objext conftest.$ac_ext fi -echo "$as_me:6971: result: $cf_cv_dcl_sys_errlist" >&5 +echo "$as_me:7007: result: $cf_cv_dcl_sys_errlist" >&5 echo "${ECHO_T}$cf_cv_dcl_sys_errlist" >&6 if test "$cf_cv_dcl_sys_errlist" = no ; then @@ -6983,14 +7019,14 @@ # It's possible (for near-UNIX clones) that the data doesn't exist -echo "$as_me:6986: checking if external sys_errlist exists" >&5 +echo "$as_me:7022: checking if external sys_errlist exists" >&5 echo $ECHO_N "checking if external sys_errlist exists... $ECHO_C" >&6 if test "${cf_cv_have_sys_errlist+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 6993 "configure" +#line 7029 "configure" #include "confdefs.h" #undef sys_errlist @@ -7005,16 +7041,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:7008: \"$ac_link\"") >&5 +if { (eval echo "$as_me:7044: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:7011: \$? = $ac_status" >&5 + echo "$as_me:7047: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:7014: \"$ac_try\"") >&5 + { (eval echo "$as_me:7050: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:7017: \$? = $ac_status" >&5 + echo "$as_me:7053: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_have_sys_errlist=yes else @@ -7025,7 +7061,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext fi -echo "$as_me:7028: result: $cf_cv_have_sys_errlist" >&5 +echo "$as_me:7064: result: $cf_cv_have_sys_errlist" >&5 echo "${ECHO_T}$cf_cv_have_sys_errlist" >&6 if test "$cf_cv_have_sys_errlist" = yes ; then @@ -7051,7 +7087,7 @@ #define os_chosen 1 EOF -echo "$as_me:7054: checking for screen type" >&5 +echo "$as_me:7090: checking for screen type" >&5 echo $ECHO_N "checking for screen type... $ECHO_C" >&6 # Check whether --with-screen or --without-screen was given. @@ -7072,14 +7108,14 @@ if test -z "$screen"; then screen=termcap; fi -echo "$as_me:7075: result: $screen" >&5 +echo "$as_me:7111: result: $screen" >&5 echo "${ECHO_T}$screen" >&6 : See if this is any type of xvile configuration: case "$screen" in [Oo]pen[Ll]ook | [Mm]otif* | [xX] | [Aa]thena | [xX][atTmMoO]* | [xX]11 | neXtaw | XawPlus ) EXTRA_INSTALL_FILES="$EXTRA_INSTALL_FILES \$(INSTALL_X_FILES)" - echo "$as_me:7082: checking for X" >&5 + echo "$as_me:7118: checking for X" >&5 echo $ECHO_N "checking for X... $ECHO_C" >&6 # Check whether --with-x or --without-x was given. @@ -7176,17 +7212,17 @@ # Guess where to find include files, by looking for Intrinsic.h. # First, try using that file with no special directory specified. cat >conftest.$ac_ext <<_ACEOF -#line 7179 "configure" +#line 7215 "configure" #include "confdefs.h" #include <X11/Intrinsic.h> _ACEOF -if { (eval echo "$as_me:7183: \"$ac_cpp conftest.$ac_ext\"") >&5 +if { (eval echo "$as_me:7219: \"$ac_cpp conftest.$ac_ext\"") >&5 (eval $ac_cpp conftest.$ac_ext) 2>conftest.er1 ac_status=$? egrep -v '^ *\+' conftest.er1 >conftest.err rm -f conftest.er1 cat conftest.err >&5 - echo "$as_me:7189: \$? = $ac_status" >&5 + echo "$as_me:7225: \$? = $ac_status" >&5 (exit $ac_status); } >/dev/null; then if test -s conftest.err; then ac_cpp_err=$ac_c_preproc_warn_flag @@ -7219,7 +7255,7 @@ ac_save_LIBS=$LIBS LIBS="-lXt $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 7222 "configure" +#line 7258 "configure" #include "confdefs.h" #include <X11/Intrinsic.h> int @@ -7231,16 +7267,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:7234: \"$ac_link\"") >&5 +if { (eval echo "$as_me:7270: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:7237: \$? = $ac_status" >&5 + echo "$as_me:7273: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:7240: \"$ac_try\"") >&5 + { (eval echo "$as_me:7276: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:7243: \$? = $ac_status" >&5 + echo "$as_me:7279: \$? = $ac_status" >&5 (exit $ac_status); }; }; then LIBS=$ac_save_LIBS # We can link X programs with no special library path. @@ -7278,7 +7314,7 @@ fi # $with_x != no if test "$have_x" != yes; then - echo "$as_me:7281: result: $have_x" >&5 + echo "$as_me:7317: result: $have_x" >&5 echo "${ECHO_T}$have_x" >&6 no_x=yes else @@ -7288,7 +7324,7 @@ # Update the cache value to reflect the command line values. ac_cv_have_x="have_x=yes \ ac_x_includes=$x_includes ac_x_libraries=$x_libraries" - echo "$as_me:7291: result: libraries $x_libraries, headers $x_includes" >&5 + echo "$as_me:7327: result: libraries $x_libraries, headers $x_includes" >&5 echo "${ECHO_T}libraries $x_libraries, headers $x_includes" >&6 fi @@ -7312,11 +7348,11 @@ # others require no space. Words are not sufficient . . . . case `(uname -sr) 2>/dev/null` in "SunOS 5"*) - echo "$as_me:7315: checking whether -R must be followed by a space" >&5 + echo "$as_me:7351: checking whether -R must be followed by a space" >&5 echo $ECHO_N "checking whether -R must be followed by a space... $ECHO_C" >&6 ac_xsave_LIBS=$LIBS; LIBS="$LIBS -R$x_libraries" cat >conftest.$ac_ext <<_ACEOF -#line 7319 "configure" +#line 7355 "configure" #include "confdefs.h" int @@ -7328,16 +7364,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:7331: \"$ac_link\"") >&5 +if { (eval echo "$as_me:7367: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:7334: \$? = $ac_status" >&5 + echo "$as_me:7370: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:7337: \"$ac_try\"") >&5 + { (eval echo "$as_me:7373: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:7340: \$? = $ac_status" >&5 + echo "$as_me:7376: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_R_nospace=yes else @@ -7347,13 +7383,13 @@ fi rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext if test $ac_R_nospace = yes; then - echo "$as_me:7350: result: no" >&5 + echo "$as_me:7386: result: no" >&5 echo "${ECHO_T}no" >&6 X_LIBS="$X_LIBS -R$x_libraries" else LIBS="$ac_xsave_LIBS -R $x_libraries" cat >conftest.$ac_ext <<_ACEOF -#line 7356 "configure" +#line 7392 "configure" #include "confdefs.h" int @@ -7365,16 +7401,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:7368: \"$ac_link\"") >&5 +if { (eval echo "$as_me:7404: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:7371: \$? = $ac_status" >&5 + echo "$as_me:7407: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:7374: \"$ac_try\"") >&5 + { (eval echo "$as_me:7410: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:7377: \$? = $ac_status" >&5 + echo "$as_me:7413: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_R_space=yes else @@ -7384,11 +7420,11 @@ fi rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext if test $ac_R_space = yes; then - echo "$as_me:7387: result: yes" >&5 + echo "$as_me:7423: result: yes" >&5 echo "${ECHO_T}yes" >&6 X_LIBS="$X_LIBS -R $x_libraries" else - echo "$as_me:7391: result: neither works" >&5 + echo "$as_me:7427: result: neither works" >&5 echo "${ECHO_T}neither works" >&6 fi fi @@ -7408,7 +7444,7 @@ # the Alpha needs dnet_stub (dnet does not exist). ac_xsave_LIBS="$LIBS"; LIBS="$LIBS $X_LIBS -lX11" cat >conftest.$ac_ext <<_ACEOF -#line 7411 "configure" +#line 7447 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -7427,22 +7463,22 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:7430: \"$ac_link\"") >&5 +if { (eval echo "$as_me:7466: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:7433: \$? = $ac_status" >&5 + echo "$as_me:7469: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:7436: \"$ac_try\"") >&5 + { (eval echo "$as_me:7472: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:7439: \$? = $ac_status" >&5 + echo "$as_me:7475: \$? = $ac_status" >&5 (exit $ac_status); }; }; then : else echo "$as_me: failed program was:" >&5 cat conftest.$ac_ext >&5 -echo "$as_me:7445: checking for dnet_ntoa in -ldnet" >&5 +echo "$as_me:7481: checking for dnet_ntoa in -ldnet" >&5 echo $ECHO_N "checking for dnet_ntoa in -ldnet... $ECHO_C" >&6 if test "${ac_cv_lib_dnet_dnet_ntoa+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -7450,7 +7486,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-ldnet $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 7453 "configure" +#line 7489 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -7469,16 +7505,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:7472: \"$ac_link\"") >&5 +if { (eval echo "$as_me:7508: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:7475: \$? = $ac_status" >&5 + echo "$as_me:7511: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:7478: \"$ac_try\"") >&5 + { (eval echo "$as_me:7514: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:7481: \$? = $ac_status" >&5 + echo "$as_me:7517: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_dnet_dnet_ntoa=yes else @@ -7489,14 +7525,14 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:7492: result: $ac_cv_lib_dnet_dnet_ntoa" >&5 +echo "$as_me:7528: result: $ac_cv_lib_dnet_dnet_ntoa" >&5 echo "${ECHO_T}$ac_cv_lib_dnet_dnet_ntoa" >&6 if test $ac_cv_lib_dnet_dnet_ntoa = yes; then X_EXTRA_LIBS="$X_EXTRA_LIBS -ldnet" fi if test $ac_cv_lib_dnet_dnet_ntoa = no; then - echo "$as_me:7499: checking for dnet_ntoa in -ldnet_stub" >&5 + echo "$as_me:7535: checking for dnet_ntoa in -ldnet_stub" >&5 echo $ECHO_N "checking for dnet_ntoa in -ldnet_stub... $ECHO_C" >&6 if test "${ac_cv_lib_dnet_stub_dnet_ntoa+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -7504,7 +7540,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-ldnet_stub $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 7507 "configure" +#line 7543 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -7523,16 +7559,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:7526: \"$ac_link\"") >&5 +if { (eval echo "$as_me:7562: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:7529: \$? = $ac_status" >&5 + echo "$as_me:7565: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:7532: \"$ac_try\"") >&5 + { (eval echo "$as_me:7568: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:7535: \$? = $ac_status" >&5 + echo "$as_me:7571: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_dnet_stub_dnet_ntoa=yes else @@ -7543,7 +7579,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:7546: result: $ac_cv_lib_dnet_stub_dnet_ntoa" >&5 +echo "$as_me:7582: result: $ac_cv_lib_dnet_stub_dnet_ntoa" >&5 echo "${ECHO_T}$ac_cv_lib_dnet_stub_dnet_ntoa" >&6 if test $ac_cv_lib_dnet_stub_dnet_ntoa = yes; then X_EXTRA_LIBS="$X_EXTRA_LIBS -ldnet_stub" @@ -7562,13 +7598,13 @@ # on Irix 5.2, according to T.E. Dickey. # The functions gethostbyname, getservbyname, and inet_addr are # in -lbsd on LynxOS 3.0.1/i386, according to Lars Hecking. - echo "$as_me:7565: checking for gethostbyname" >&5 + echo "$as_me:7601: checking for gethostbyname" >&5 echo $ECHO_N "checking for gethostbyname... $ECHO_C" >&6 if test "${ac_cv_func_gethostbyname+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 7571 "configure" +#line 7607 "configure" #include "confdefs.h" /* System header to define __stub macros and hopefully few prototypes, which can conflict with char gethostbyname (); below. */ @@ -7599,16 +7635,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:7602: \"$ac_link\"") >&5 +if { (eval echo "$as_me:7638: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:7605: \$? = $ac_status" >&5 + echo "$as_me:7641: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:7608: \"$ac_try\"") >&5 + { (eval echo "$as_me:7644: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:7611: \$? = $ac_status" >&5 + echo "$as_me:7647: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_func_gethostbyname=yes else @@ -7618,11 +7654,11 @@ fi rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext fi -echo "$as_me:7621: result: $ac_cv_func_gethostbyname" >&5 +echo "$as_me:7657: result: $ac_cv_func_gethostbyname" >&5 echo "${ECHO_T}$ac_cv_func_gethostbyname" >&6 if test $ac_cv_func_gethostbyname = no; then - echo "$as_me:7625: checking for gethostbyname in -lnsl" >&5 + echo "$as_me:7661: checking for gethostbyname in -lnsl" >&5 echo $ECHO_N "checking for gethostbyname in -lnsl... $ECHO_C" >&6 if test "${ac_cv_lib_nsl_gethostbyname+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -7630,7 +7666,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lnsl $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 7633 "configure" +#line 7669 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -7649,16 +7685,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:7652: \"$ac_link\"") >&5 +if { (eval echo "$as_me:7688: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:7655: \$? = $ac_status" >&5 + echo "$as_me:7691: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:7658: \"$ac_try\"") >&5 + { (eval echo "$as_me:7694: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:7661: \$? = $ac_status" >&5 + echo "$as_me:7697: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_nsl_gethostbyname=yes else @@ -7669,14 +7705,14 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:7672: result: $ac_cv_lib_nsl_gethostbyname" >&5 +echo "$as_me:7708: result: $ac_cv_lib_nsl_gethostbyname" >&5 echo "${ECHO_T}$ac_cv_lib_nsl_gethostbyname" >&6 if test $ac_cv_lib_nsl_gethostbyname = yes; then X_EXTRA_LIBS="$X_EXTRA_LIBS -lnsl" fi if test $ac_cv_lib_nsl_gethostbyname = no; then - echo "$as_me:7679: checking for gethostbyname in -lbsd" >&5 + echo "$as_me:7715: checking for gethostbyname in -lbsd" >&5 echo $ECHO_N "checking for gethostbyname in -lbsd... $ECHO_C" >&6 if test "${ac_cv_lib_bsd_gethostbyname+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -7684,7 +7720,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lbsd $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 7687 "configure" +#line 7723 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -7703,16 +7739,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:7706: \"$ac_link\"") >&5 +if { (eval echo "$as_me:7742: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:7709: \$? = $ac_status" >&5 + echo "$as_me:7745: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:7712: \"$ac_try\"") >&5 + { (eval echo "$as_me:7748: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:7715: \$? = $ac_status" >&5 + echo "$as_me:7751: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_bsd_gethostbyname=yes else @@ -7723,7 +7759,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:7726: result: $ac_cv_lib_bsd_gethostbyname" >&5 +echo "$as_me:7762: result: $ac_cv_lib_bsd_gethostbyname" >&5 echo "${ECHO_T}$ac_cv_lib_bsd_gethostbyname" >&6 if test $ac_cv_lib_bsd_gethostbyname = yes; then X_EXTRA_LIBS="$X_EXTRA_LIBS -lbsd" @@ -7739,13 +7775,13 @@ # variants that don't use the nameserver (or something). -lsocket # must be given before -lnsl if both are needed. We assume that # if connect needs -lnsl, so does gethostbyname. - echo "$as_me:7742: checking for connect" >&5 + echo "$as_me:7778: checking for connect" >&5 echo $ECHO_N "checking for connect... $ECHO_C" >&6 if test "${ac_cv_func_connect+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 7748 "configure" +#line 7784 "configure" #include "confdefs.h" /* System header to define __stub macros and hopefully few prototypes, which can conflict with char connect (); below. */ @@ -7776,16 +7812,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:7779: \"$ac_link\"") >&5 +if { (eval echo "$as_me:7815: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:7782: \$? = $ac_status" >&5 + echo "$as_me:7818: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:7785: \"$ac_try\"") >&5 + { (eval echo "$as_me:7821: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:7788: \$? = $ac_status" >&5 + echo "$as_me:7824: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_func_connect=yes else @@ -7795,11 +7831,11 @@ fi rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext fi -echo "$as_me:7798: result: $ac_cv_func_connect" >&5 +echo "$as_me:7834: result: $ac_cv_func_connect" >&5 echo "${ECHO_T}$ac_cv_func_connect" >&6 if test $ac_cv_func_connect = no; then - echo "$as_me:7802: checking for connect in -lsocket" >&5 + echo "$as_me:7838: checking for connect in -lsocket" >&5 echo $ECHO_N "checking for connect in -lsocket... $ECHO_C" >&6 if test "${ac_cv_lib_socket_connect+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -7807,7 +7843,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lsocket $X_EXTRA_LIBS $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 7810 "configure" +#line 7846 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -7826,16 +7862,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:7829: \"$ac_link\"") >&5 +if { (eval echo "$as_me:7865: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:7832: \$? = $ac_status" >&5 + echo "$as_me:7868: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:7835: \"$ac_try\"") >&5 + { (eval echo "$as_me:7871: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:7838: \$? = $ac_status" >&5 + echo "$as_me:7874: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_socket_connect=yes else @@ -7846,7 +7882,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:7849: result: $ac_cv_lib_socket_connect" >&5 +echo "$as_me:7885: result: $ac_cv_lib_socket_connect" >&5 echo "${ECHO_T}$ac_cv_lib_socket_connect" >&6 if test $ac_cv_lib_socket_connect = yes; then X_EXTRA_LIBS="-lsocket $X_EXTRA_LIBS" @@ -7855,13 +7891,13 @@ fi # Guillermo Gomez says -lposix is necessary on A/UX. - echo "$as_me:7858: checking for remove" >&5 + echo "$as_me:7894: checking for remove" >&5 echo $ECHO_N "checking for remove... $ECHO_C" >&6 if test "${ac_cv_func_remove+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 7864 "configure" +#line 7900 "configure" #include "confdefs.h" /* System header to define __stub macros and hopefully few prototypes, which can conflict with char remove (); below. */ @@ -7892,16 +7928,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:7895: \"$ac_link\"") >&5 +if { (eval echo "$as_me:7931: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:7898: \$? = $ac_status" >&5 + echo "$as_me:7934: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:7901: \"$ac_try\"") >&5 + { (eval echo "$as_me:7937: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:7904: \$? = $ac_status" >&5 + echo "$as_me:7940: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_func_remove=yes else @@ -7911,11 +7947,11 @@ fi rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext fi -echo "$as_me:7914: result: $ac_cv_func_remove" >&5 +echo "$as_me:7950: result: $ac_cv_func_remove" >&5 echo "${ECHO_T}$ac_cv_func_remove" >&6 if test $ac_cv_func_remove = no; then - echo "$as_me:7918: checking for remove in -lposix" >&5 + echo "$as_me:7954: checking for remove in -lposix" >&5 echo $ECHO_N "checking for remove in -lposix... $ECHO_C" >&6 if test "${ac_cv_lib_posix_remove+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -7923,7 +7959,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lposix $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 7926 "configure" +#line 7962 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -7942,16 +7978,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:7945: \"$ac_link\"") >&5 +if { (eval echo "$as_me:7981: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:7948: \$? = $ac_status" >&5 + echo "$as_me:7984: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:7951: \"$ac_try\"") >&5 + { (eval echo "$as_me:7987: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:7954: \$? = $ac_status" >&5 + echo "$as_me:7990: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_posix_remove=yes else @@ -7962,7 +7998,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:7965: result: $ac_cv_lib_posix_remove" >&5 +echo "$as_me:8001: result: $ac_cv_lib_posix_remove" >&5 echo "${ECHO_T}$ac_cv_lib_posix_remove" >&6 if test $ac_cv_lib_posix_remove = yes; then X_EXTRA_LIBS="$X_EXTRA_LIBS -lposix" @@ -7971,13 +8007,13 @@ fi # BSDI BSD/OS 2.1 needs -lipc for XOpenDisplay. - echo "$as_me:7974: checking for shmat" >&5 + echo "$as_me:8010: checking for shmat" >&5 echo $ECHO_N "checking for shmat... $ECHO_C" >&6 if test "${ac_cv_func_shmat+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 7980 "configure" +#line 8016 "configure" #include "confdefs.h" /* System header to define __stub macros and hopefully few prototypes, which can conflict with char shmat (); below. */ @@ -8008,16 +8044,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:8011: \"$ac_link\"") >&5 +if { (eval echo "$as_me:8047: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:8014: \$? = $ac_status" >&5 + echo "$as_me:8050: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:8017: \"$ac_try\"") >&5 + { (eval echo "$as_me:8053: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:8020: \$? = $ac_status" >&5 + echo "$as_me:8056: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_func_shmat=yes else @@ -8027,11 +8063,11 @@ fi rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext fi -echo "$as_me:8030: result: $ac_cv_func_shmat" >&5 +echo "$as_me:8066: result: $ac_cv_func_shmat" >&5 echo "${ECHO_T}$ac_cv_func_shmat" >&6 if test $ac_cv_func_shmat = no; then - echo "$as_me:8034: checking for shmat in -lipc" >&5 + echo "$as_me:8070: checking for shmat in -lipc" >&5 echo $ECHO_N "checking for shmat in -lipc... $ECHO_C" >&6 if test "${ac_cv_lib_ipc_shmat+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -8039,7 +8075,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lipc $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 8042 "configure" +#line 8078 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -8058,16 +8094,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:8061: \"$ac_link\"") >&5 +if { (eval echo "$as_me:8097: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:8064: \$? = $ac_status" >&5 + echo "$as_me:8100: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:8067: \"$ac_try\"") >&5 + { (eval echo "$as_me:8103: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:8070: \$? = $ac_status" >&5 + echo "$as_me:8106: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_ipc_shmat=yes else @@ -8078,7 +8114,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:8081: result: $ac_cv_lib_ipc_shmat" >&5 +echo "$as_me:8117: result: $ac_cv_lib_ipc_shmat" >&5 echo "${ECHO_T}$ac_cv_lib_ipc_shmat" >&6 if test $ac_cv_lib_ipc_shmat = yes; then X_EXTRA_LIBS="$X_EXTRA_LIBS -lipc" @@ -8096,7 +8132,7 @@ # These have to be linked with before -lX11, unlike the other # libraries we check for below, so use a different variable. # John Interrante, Karl Berry - echo "$as_me:8099: checking for IceConnectionNumber in -lICE" >&5 + echo "$as_me:8135: checking for IceConnectionNumber in -lICE" >&5 echo $ECHO_N "checking for IceConnectionNumber in -lICE... $ECHO_C" >&6 if test "${ac_cv_lib_ICE_IceConnectionNumber+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -8104,7 +8140,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lICE $X_EXTRA_LIBS $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 8107 "configure" +#line 8143 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -8123,16 +8159,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:8126: \"$ac_link\"") >&5 +if { (eval echo "$as_me:8162: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:8129: \$? = $ac_status" >&5 + echo "$as_me:8165: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:8132: \"$ac_try\"") >&5 + { (eval echo "$as_me:8168: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:8135: \$? = $ac_status" >&5 + echo "$as_me:8171: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_ICE_IceConnectionNumber=yes else @@ -8143,7 +8179,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:8146: result: $ac_cv_lib_ICE_IceConnectionNumber" >&5 +echo "$as_me:8182: result: $ac_cv_lib_ICE_IceConnectionNumber" >&5 echo "${ECHO_T}$ac_cv_lib_ICE_IceConnectionNumber" >&6 if test $ac_cv_lib_ICE_IceConnectionNumber = yes; then X_PRE_LIBS="$X_PRE_LIBS -lSM -lICE" @@ -8237,7 +8273,7 @@ if test "$cf_check_cflags" != "$CFLAGS" ; then cat >conftest.$ac_ext <<_ACEOF -#line 8240 "configure" +#line 8276 "configure" #include "confdefs.h" #include <stdio.h> int @@ -8249,16 +8285,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:8252: \"$ac_link\"") >&5 +if { (eval echo "$as_me:8288: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:8255: \$? = $ac_status" >&5 + echo "$as_me:8291: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:8258: \"$ac_try\"") >&5 + { (eval echo "$as_me:8294: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:8261: \$? = $ac_status" >&5 + echo "$as_me:8297: \$? = $ac_status" >&5 (exit $ac_status); }; }; then : else @@ -8275,13 +8311,13 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext fi -echo "$as_me:8278: checking for XOpenDisplay" >&5 +echo "$as_me:8314: checking for XOpenDisplay" >&5 echo $ECHO_N "checking for XOpenDisplay... $ECHO_C" >&6 if test "${ac_cv_func_XOpenDisplay+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 8284 "configure" +#line 8320 "configure" #include "confdefs.h" /* System header to define __stub macros and hopefully few prototypes, which can conflict with char XOpenDisplay (); below. */ @@ -8312,16 +8348,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:8315: \"$ac_link\"") >&5 +if { (eval echo "$as_me:8351: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:8318: \$? = $ac_status" >&5 + echo "$as_me:8354: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:8321: \"$ac_try\"") >&5 + { (eval echo "$as_me:8357: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:8324: \$? = $ac_status" >&5 + echo "$as_me:8360: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_func_XOpenDisplay=yes else @@ -8331,13 +8367,13 @@ fi rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext fi -echo "$as_me:8334: result: $ac_cv_func_XOpenDisplay" >&5 +echo "$as_me:8370: result: $ac_cv_func_XOpenDisplay" >&5 echo "${ECHO_T}$ac_cv_func_XOpenDisplay" >&6 if test $ac_cv_func_XOpenDisplay = yes; then : else -echo "$as_me:8340: checking for XOpenDisplay in -lX11" >&5 +echo "$as_me:8376: checking for XOpenDisplay in -lX11" >&5 echo $ECHO_N "checking for XOpenDisplay in -lX11... $ECHO_C" >&6 if test "${ac_cv_lib_X11_XOpenDisplay+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -8345,7 +8381,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lX11 $X_PRE_LIBS $LIBS $X_EXTRA_LIBS $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 8348 "configure" +#line 8384 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -8364,16 +8400,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:8367: \"$ac_link\"") >&5 +if { (eval echo "$as_me:8403: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:8370: \$? = $ac_status" >&5 + echo "$as_me:8406: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:8373: \"$ac_try\"") >&5 + { (eval echo "$as_me:8409: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:8376: \$? = $ac_status" >&5 + echo "$as_me:8412: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_X11_XOpenDisplay=yes else @@ -8384,7 +8420,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:8387: result: $ac_cv_lib_X11_XOpenDisplay" >&5 +echo "$as_me:8423: result: $ac_cv_lib_X11_XOpenDisplay" >&5 echo "${ECHO_T}$ac_cv_lib_X11_XOpenDisplay" >&6 if test $ac_cv_lib_X11_XOpenDisplay = yes; then LIBS="-lX11 $LIBS" @@ -8392,13 +8428,13 @@ fi -echo "$as_me:8395: checking for XtAppInitialize" >&5 +echo "$as_me:8431: checking for XtAppInitialize" >&5 echo $ECHO_N "checking for XtAppInitialize... $ECHO_C" >&6 if test "${ac_cv_func_XtAppInitialize+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 8401 "configure" +#line 8437 "configure" #include "confdefs.h" /* System header to define __stub macros and hopefully few prototypes, which can conflict with char XtAppInitialize (); below. */ @@ -8429,16 +8465,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:8432: \"$ac_link\"") >&5 +if { (eval echo "$as_me:8468: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:8435: \$? = $ac_status" >&5 + echo "$as_me:8471: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:8438: \"$ac_try\"") >&5 + { (eval echo "$as_me:8474: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:8441: \$? = $ac_status" >&5 + echo "$as_me:8477: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_func_XtAppInitialize=yes else @@ -8448,13 +8484,13 @@ fi rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext fi -echo "$as_me:8451: result: $ac_cv_func_XtAppInitialize" >&5 +echo "$as_me:8487: result: $ac_cv_func_XtAppInitialize" >&5 echo "${ECHO_T}$ac_cv_func_XtAppInitialize" >&6 if test $ac_cv_func_XtAppInitialize = yes; then : else -echo "$as_me:8457: checking for XtAppInitialize in -lXt" >&5 +echo "$as_me:8493: checking for XtAppInitialize in -lXt" >&5 echo $ECHO_N "checking for XtAppInitialize in -lXt... $ECHO_C" >&6 if test "${ac_cv_lib_Xt_XtAppInitialize+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -8462,7 +8498,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lXt $X_PRE_LIBS $LIBS $X_EXTRA_LIBS $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 8465 "configure" +#line 8501 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -8481,16 +8517,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:8484: \"$ac_link\"") >&5 +if { (eval echo "$as_me:8520: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:8487: \$? = $ac_status" >&5 + echo "$as_me:8523: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:8490: \"$ac_try\"") >&5 + { (eval echo "$as_me:8526: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:8493: \$? = $ac_status" >&5 + echo "$as_me:8529: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_Xt_XtAppInitialize=yes else @@ -8501,7 +8537,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:8504: result: $ac_cv_lib_Xt_XtAppInitialize" >&5 +echo "$as_me:8540: result: $ac_cv_lib_Xt_XtAppInitialize" >&5 echo "${ECHO_T}$ac_cv_lib_Xt_XtAppInitialize" >&6 if test $ac_cv_lib_Xt_XtAppInitialize = yes; then cat >>confdefs.h <<\EOF @@ -8515,7 +8551,7 @@ fi if test $cf_have_X_LIBS = no ; then - { echo "$as_me:8518: WARNING: Unable to successfully link X Toolkit library (-lXt) with + { echo "$as_me:8554: WARNING: Unable to successfully link X Toolkit library (-lXt) with test program. You will have to check and add the proper libraries by hand to makefile." >&5 echo "$as_me: WARNING: Unable to successfully link X Toolkit library (-lXt) with @@ -8523,7 +8559,7 @@ to makefile." >&2;} fi -echo "$as_me:8526: checking if we should use imake to help" >&5 +echo "$as_me:8562: checking if we should use imake to help" >&5 echo $ECHO_N "checking if we should use imake to help... $ECHO_C" >&6 # Check whether --enable-imake or --disable-imake was given. @@ -8540,7 +8576,7 @@ enable_imake=yes fi; -echo "$as_me:8543: result: $enable_imake" >&5 +echo "$as_me:8579: result: $enable_imake" >&5 echo "${ECHO_T}$enable_imake" >&6 if test "$enable_imake" = yes ; then @@ -8549,7 +8585,7 @@ do # Extract the first word of "$ac_prog", so it can be a program name with args. set dummy $ac_prog; ac_word=$2 -echo "$as_me:8552: checking for $ac_word" >&5 +echo "$as_me:8588: checking for $ac_word" >&5 echo $ECHO_N "checking for $ac_word... $ECHO_C" >&6 if test "${ac_cv_path_IMAKE+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -8566,7 +8602,7 @@ test -z "$ac_dir" && ac_dir=. if $as_executable_p "$ac_dir/$ac_word"; then ac_cv_path_IMAKE="$ac_dir/$ac_word" - echo "$as_me:8569: found $ac_dir/$ac_word" >&5 + echo "$as_me:8605: found $ac_dir/$ac_word" >&5 break fi done @@ -8577,10 +8613,10 @@ IMAKE=$ac_cv_path_IMAKE if test -n "$IMAKE"; then - echo "$as_me:8580: result: $IMAKE" >&5 + echo "$as_me:8616: result: $IMAKE" >&5 echo "${ECHO_T}$IMAKE" >&6 else - echo "$as_me:8583: result: no" >&5 + echo "$as_me:8619: result: no" >&5 echo "${ECHO_T}no" >&6 fi @@ -8653,7 +8689,7 @@ esac done if test -z "$cf_config" ; then - { echo "$as_me:8656: WARNING: Could not find imake config-directory" >&5 + { echo "$as_me:8692: WARNING: Could not find imake config-directory" >&5 echo "$as_me: WARNING: Could not find imake config-directory" >&2;} else cf_imake_opts="$cf_imake_opts -I$cf_config" @@ -8662,7 +8698,7 @@ test -n "$verbose" && echo " Using $IMAKE $cf_config" 1>&6 else - { echo "$as_me:8665: WARNING: Cannot run $IMAKE" >&5 + { echo "$as_me:8701: WARNING: Cannot run $IMAKE" >&5 echo "$as_me: WARNING: Cannot run $IMAKE" >&2;} fi fi @@ -9000,7 +9036,7 @@ if test "$cf_check_cflags" != "$CFLAGS" ; then cat >conftest.$ac_ext <<_ACEOF -#line 9003 "configure" +#line 9039 "configure" #include "confdefs.h" #include <stdio.h> int @@ -9012,16 +9048,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:9015: \"$ac_link\"") >&5 +if { (eval echo "$as_me:9051: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:9018: \$? = $ac_status" >&5 + echo "$as_me:9054: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:9021: \"$ac_try\"") >&5 + { (eval echo "$as_me:9057: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:9024: \$? = $ac_status" >&5 + echo "$as_me:9060: \$? = $ac_status" >&5 (exit $ac_status); }; }; then : else @@ -9051,23 +9087,23 @@ for ac_header in X11/IntrinsicI.h X11/Xpoll.h do as_ac_Header=`echo "ac_cv_header_$ac_header" | $as_tr_sh` -echo "$as_me:9054: checking for $ac_header" >&5 +echo "$as_me:9090: checking for $ac_header" >&5 echo $ECHO_N "checking for $ac_header... $ECHO_C" >&6 if eval "test \"\${$as_ac_Header+set}\" = set"; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 9060 "configure" +#line 9096 "configure" #include "confdefs.h" #include <$ac_header> _ACEOF -if { (eval echo "$as_me:9064: \"$ac_cpp conftest.$ac_ext\"") >&5 +if { (eval echo "$as_me:9100: \"$ac_cpp conftest.$ac_ext\"") >&5 (eval $ac_cpp conftest.$ac_ext) 2>conftest.er1 ac_status=$? egrep -v '^ *\+' conftest.er1 >conftest.err rm -f conftest.er1 cat conftest.err >&5 - echo "$as_me:9070: \$? = $ac_status" >&5 + echo "$as_me:9106: \$? = $ac_status" >&5 (exit $ac_status); } >/dev/null; then if test -s conftest.err; then ac_cpp_err=$ac_c_preproc_warn_flag @@ -9086,7 +9122,7 @@ fi rm -f conftest.err conftest.$ac_ext fi -echo "$as_me:9089: result: `eval echo '${'$as_ac_Header'}'`" >&5 +echo "$as_me:9125: result: `eval echo '${'$as_ac_Header'}'`" >&5 echo "${ECHO_T}`eval echo '${'$as_ac_Header'}'`" >&6 if test `eval echo '${'$as_ac_Header'}'` = yes; then cat >>confdefs.h <<EOF @@ -9112,7 +9148,7 @@ fi LDFLAGS="$LDFLAGS $X_LIBS" -echo "$as_me:9115: checking for XmuClientWindow in -lXmu" >&5 +echo "$as_me:9151: checking for XmuClientWindow in -lXmu" >&5 echo $ECHO_N "checking for XmuClientWindow in -lXmu... $ECHO_C" >&6 if test "${ac_cv_lib_Xmu_XmuClientWindow+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -9120,7 +9156,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lXmu $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 9123 "configure" +#line 9159 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -9139,16 +9175,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:9142: \"$ac_link\"") >&5 +if { (eval echo "$as_me:9178: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:9145: \$? = $ac_status" >&5 + echo "$as_me:9181: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:9148: \"$ac_try\"") >&5 + { (eval echo "$as_me:9184: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:9151: \$? = $ac_status" >&5 + echo "$as_me:9187: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_Xmu_XmuClientWindow=yes else @@ -9159,7 +9195,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:9162: result: $ac_cv_lib_Xmu_XmuClientWindow" >&5 +echo "$as_me:9198: result: $ac_cv_lib_Xmu_XmuClientWindow" >&5 echo "${ECHO_T}$ac_cv_lib_Xmu_XmuClientWindow" >&6 if test $ac_cv_lib_Xmu_XmuClientWindow = yes; then cat >>confdefs.h <<EOF @@ -9170,7 +9206,7 @@ fi -echo "$as_me:9173: checking for OlToolkitInitialize in -lXol" >&5 +echo "$as_me:9209: checking for OlToolkitInitialize in -lXol" >&5 echo $ECHO_N "checking for OlToolkitInitialize in -lXol... $ECHO_C" >&6 if test "${ac_cv_lib_Xol_OlToolkitInitialize+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -9178,7 +9214,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lXol $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 9181 "configure" +#line 9217 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -9197,16 +9233,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:9200: \"$ac_link\"") >&5 +if { (eval echo "$as_me:9236: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:9203: \$? = $ac_status" >&5 + echo "$as_me:9239: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:9206: \"$ac_try\"") >&5 + { (eval echo "$as_me:9242: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:9209: \$? = $ac_status" >&5 + echo "$as_me:9245: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_Xol_OlToolkitInitialize=yes else @@ -9217,12 +9253,12 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:9220: result: $ac_cv_lib_Xol_OlToolkitInitialize" >&5 +echo "$as_me:9256: result: $ac_cv_lib_Xol_OlToolkitInitialize" >&5 echo "${ECHO_T}$ac_cv_lib_Xol_OlToolkitInitialize" >&6 if test $ac_cv_lib_Xol_OlToolkitInitialize = yes; then LIBS="-lXol -lm $LIBS" else - { { echo "$as_me:9225: error: Unable to successfully link OpenLook library (-lXol) with test program" >&5 + { { echo "$as_me:9261: error: Unable to successfully link OpenLook library (-lXol) with test program" >&5 echo "$as_me: error: Unable to successfully link OpenLook library (-lXol) with test program" >&2;} { (exit 1); exit 1; }; } fi @@ -9237,23 +9273,23 @@ for ac_header in X11/IntrinsicI.h Xm/XmP.h do as_ac_Header=`echo "ac_cv_header_$ac_header" | $as_tr_sh` -echo "$as_me:9240: checking for $ac_header" >&5 +echo "$as_me:9276: checking for $ac_header" >&5 echo $ECHO_N "checking for $ac_header... $ECHO_C" >&6 if eval "test \"\${$as_ac_Header+set}\" = set"; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 9246 "configure" +#line 9282 "configure" #include "confdefs.h" #include <$ac_header> _ACEOF -if { (eval echo "$as_me:9250: \"$ac_cpp conftest.$ac_ext\"") >&5 +if { (eval echo "$as_me:9286: \"$ac_cpp conftest.$ac_ext\"") >&5 (eval $ac_cpp conftest.$ac_ext) 2>conftest.er1 ac_status=$? egrep -v '^ *\+' conftest.er1 >conftest.err rm -f conftest.er1 cat conftest.err >&5 - echo "$as_me:9256: \$? = $ac_status" >&5 + echo "$as_me:9292: \$? = $ac_status" >&5 (exit $ac_status); } >/dev/null; then if test -s conftest.err; then ac_cpp_err=$ac_c_preproc_warn_flag @@ -9272,7 +9308,7 @@ fi rm -f conftest.err conftest.$ac_ext fi -echo "$as_me:9275: result: `eval echo '${'$as_ac_Header'}'`" >&5 +echo "$as_me:9311: result: `eval echo '${'$as_ac_Header'}'`" >&5 echo "${ECHO_T}`eval echo '${'$as_ac_Header'}'`" >&6 if test `eval echo '${'$as_ac_Header'}'` = yes; then cat >>confdefs.h <<EOF @@ -9282,7 +9318,7 @@ fi done -echo "$as_me:9285: checking for regcmp in -lgen" >&5 +echo "$as_me:9321: checking for regcmp in -lgen" >&5 echo $ECHO_N "checking for regcmp in -lgen... $ECHO_C" >&6 if test "${ac_cv_lib_gen_regcmp+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -9290,7 +9326,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lgen $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 9293 "configure" +#line 9329 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -9309,16 +9345,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:9312: \"$ac_link\"") >&5 +if { (eval echo "$as_me:9348: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:9315: \$? = $ac_status" >&5 + echo "$as_me:9351: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:9318: \"$ac_try\"") >&5 + { (eval echo "$as_me:9354: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:9321: \$? = $ac_status" >&5 + echo "$as_me:9357: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_gen_regcmp=yes else @@ -9329,7 +9365,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:9332: result: $ac_cv_lib_gen_regcmp" >&5 +echo "$as_me:9368: result: $ac_cv_lib_gen_regcmp" >&5 echo "${ECHO_T}$ac_cv_lib_gen_regcmp" >&6 if test $ac_cv_lib_gen_regcmp = yes; then cat >>confdefs.h <<EOF @@ -9340,7 +9376,7 @@ fi -echo "$as_me:9343: checking for XmuClientWindow in -lXmu" >&5 +echo "$as_me:9379: checking for XmuClientWindow in -lXmu" >&5 echo $ECHO_N "checking for XmuClientWindow in -lXmu... $ECHO_C" >&6 if test "${ac_cv_lib_Xmu_XmuClientWindow+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -9348,7 +9384,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lXmu $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 9351 "configure" +#line 9387 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -9367,16 +9403,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:9370: \"$ac_link\"") >&5 +if { (eval echo "$as_me:9406: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:9373: \$? = $ac_status" >&5 + echo "$as_me:9409: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:9376: \"$ac_try\"") >&5 + { (eval echo "$as_me:9412: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:9379: \$? = $ac_status" >&5 + echo "$as_me:9415: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_Xmu_XmuClientWindow=yes else @@ -9387,7 +9423,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:9390: result: $ac_cv_lib_Xmu_XmuClientWindow" >&5 +echo "$as_me:9426: result: $ac_cv_lib_Xmu_XmuClientWindow" >&5 echo "${ECHO_T}$ac_cv_lib_Xmu_XmuClientWindow" >&6 if test $ac_cv_lib_Xmu_XmuClientWindow = yes; then cat >>confdefs.h <<EOF @@ -9398,7 +9434,7 @@ fi -echo "$as_me:9401: checking for XpStartDoc in -lXp" >&5 +echo "$as_me:9437: checking for XpStartDoc in -lXp" >&5 echo $ECHO_N "checking for XpStartDoc in -lXp... $ECHO_C" >&6 if test "${ac_cv_lib_Xp_XpStartDoc+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -9406,7 +9442,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lXp $LIBS $X_EXTRA_LIBS $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 9409 "configure" +#line 9445 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -9425,16 +9461,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:9428: \"$ac_link\"") >&5 +if { (eval echo "$as_me:9464: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:9431: \$? = $ac_status" >&5 + echo "$as_me:9467: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:9434: \"$ac_try\"") >&5 + { (eval echo "$as_me:9470: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:9437: \$? = $ac_status" >&5 + echo "$as_me:9473: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_Xp_XpStartDoc=yes else @@ -9445,7 +9481,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:9448: result: $ac_cv_lib_Xp_XpStartDoc" >&5 +echo "$as_me:9484: result: $ac_cv_lib_Xp_XpStartDoc" >&5 echo "${ECHO_T}$ac_cv_lib_Xp_XpStartDoc" >&6 if test $ac_cv_lib_Xp_XpStartDoc = yes; then cat >>confdefs.h <<EOF @@ -9456,7 +9492,7 @@ fi -echo "$as_me:9459: checking for XextCreateExtension in -lXext" >&5 +echo "$as_me:9495: checking for XextCreateExtension in -lXext" >&5 echo $ECHO_N "checking for XextCreateExtension in -lXext... $ECHO_C" >&6 if test "${ac_cv_lib_Xext_XextCreateExtension+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -9464,7 +9500,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lXext $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 9467 "configure" +#line 9503 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -9483,16 +9519,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:9486: \"$ac_link\"") >&5 +if { (eval echo "$as_me:9522: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:9489: \$? = $ac_status" >&5 + echo "$as_me:9525: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:9492: \"$ac_try\"") >&5 + { (eval echo "$as_me:9528: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:9495: \$? = $ac_status" >&5 + echo "$as_me:9531: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_Xext_XextCreateExtension=yes else @@ -9503,13 +9539,13 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:9506: result: $ac_cv_lib_Xext_XextCreateExtension" >&5 +echo "$as_me:9542: result: $ac_cv_lib_Xext_XextCreateExtension" >&5 echo "${ECHO_T}$ac_cv_lib_Xext_XextCreateExtension" >&6 if test $ac_cv_lib_Xext_XextCreateExtension = yes; then LIBS="-lXext $LIBS" fi -echo "$as_me:9512: checking for XpmCreatePixmapFromXpmImage in -lXpm" >&5 +echo "$as_me:9548: checking for XpmCreatePixmapFromXpmImage in -lXpm" >&5 echo $ECHO_N "checking for XpmCreatePixmapFromXpmImage in -lXpm... $ECHO_C" >&6 if test "${ac_cv_lib_Xpm_XpmCreatePixmapFromXpmImage+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -9517,7 +9553,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lXpm $LIBS $X_EXTRA_LIBS $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 9520 "configure" +#line 9556 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -9536,16 +9572,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:9539: \"$ac_link\"") >&5 +if { (eval echo "$as_me:9575: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:9542: \$? = $ac_status" >&5 + echo "$as_me:9578: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:9545: \"$ac_try\"") >&5 + { (eval echo "$as_me:9581: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:9548: \$? = $ac_status" >&5 + echo "$as_me:9584: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_Xpm_XpmCreatePixmapFromXpmImage=yes else @@ -9556,13 +9592,13 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:9559: result: $ac_cv_lib_Xpm_XpmCreatePixmapFromXpmImage" >&5 +echo "$as_me:9595: result: $ac_cv_lib_Xpm_XpmCreatePixmapFromXpmImage" >&5 echo "${ECHO_T}$ac_cv_lib_Xpm_XpmCreatePixmapFromXpmImage" >&6 if test $ac_cv_lib_Xpm_XpmCreatePixmapFromXpmImage = yes; then LIBS="-lXpm $LIBS" fi -echo "$as_me:9565: checking for XmbTextListToTextProperty in -lXIM" >&5 +echo "$as_me:9601: checking for XmbTextListToTextProperty in -lXIM" >&5 echo $ECHO_N "checking for XmbTextListToTextProperty in -lXIM... $ECHO_C" >&6 if test "${ac_cv_lib_XIM_XmbTextListToTextProperty+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -9570,7 +9606,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lXIM $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 9573 "configure" +#line 9609 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -9589,16 +9625,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:9592: \"$ac_link\"") >&5 +if { (eval echo "$as_me:9628: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:9595: \$? = $ac_status" >&5 + echo "$as_me:9631: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:9598: \"$ac_try\"") >&5 + { (eval echo "$as_me:9634: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:9601: \$? = $ac_status" >&5 + echo "$as_me:9637: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_XIM_XmbTextListToTextProperty=yes else @@ -9609,7 +9645,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:9612: result: $ac_cv_lib_XIM_XmbTextListToTextProperty" >&5 +echo "$as_me:9648: result: $ac_cv_lib_XIM_XmbTextListToTextProperty" >&5 echo "${ECHO_T}$ac_cv_lib_XIM_XmbTextListToTextProperty" >&6 if test $ac_cv_lib_XIM_XmbTextListToTextProperty = yes; then cat >>confdefs.h <<EOF @@ -9619,7 +9655,7 @@ LIBS="-lXIM $LIBS" fi -echo "$as_me:9622: checking for XmProcessTraversal in -lXm" >&5 +echo "$as_me:9658: checking for XmProcessTraversal in -lXm" >&5 echo $ECHO_N "checking for XmProcessTraversal in -lXm... $ECHO_C" >&6 if test "${ac_cv_lib_Xm_XmProcessTraversal+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -9627,7 +9663,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lXm $X_PRE_LIBS $LIBS $X_EXTRA_LIBS $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 9630 "configure" +#line 9666 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -9646,16 +9682,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:9649: \"$ac_link\"") >&5 +if { (eval echo "$as_me:9685: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:9652: \$? = $ac_status" >&5 + echo "$as_me:9688: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:9655: \"$ac_try\"") >&5 + { (eval echo "$as_me:9691: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:9658: \$? = $ac_status" >&5 + echo "$as_me:9694: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_Xm_XmProcessTraversal=yes else @@ -9666,12 +9702,12 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:9669: result: $ac_cv_lib_Xm_XmProcessTraversal" >&5 +echo "$as_me:9705: result: $ac_cv_lib_Xm_XmProcessTraversal" >&5 echo "${ECHO_T}$ac_cv_lib_Xm_XmProcessTraversal" >&6 if test $ac_cv_lib_Xm_XmProcessTraversal = yes; then LIBS="-lXm $LIBS" else - { { echo "$as_me:9674: error: Unable to successfully link Motif library (-lXm) with test program" >&5 + { { echo "$as_me:9710: error: Unable to successfully link Motif library (-lXm) with test program" >&5 echo "$as_me: error: Unable to successfully link Motif library (-lXm) with test program" >&2;} { (exit 1); exit 1; }; } fi @@ -9692,7 +9728,7 @@ cf_x_athena=${cf_x_athena-Xaw} -echo "$as_me:9695: checking if you want to link with Xaw 3d library" >&5 +echo "$as_me:9731: checking if you want to link with Xaw 3d library" >&5 echo $ECHO_N "checking if you want to link with Xaw 3d library... $ECHO_C" >&6 withval= @@ -9703,14 +9739,14 @@ fi; if test "$withval" = yes ; then cf_x_athena=Xaw3d - echo "$as_me:9706: result: yes" >&5 + echo "$as_me:9742: result: yes" >&5 echo "${ECHO_T}yes" >&6 else - echo "$as_me:9709: result: no" >&5 + echo "$as_me:9745: result: no" >&5 echo "${ECHO_T}no" >&6 fi -echo "$as_me:9713: checking if you want to link with neXT Athena library" >&5 +echo "$as_me:9749: checking if you want to link with neXT Athena library" >&5 echo $ECHO_N "checking if you want to link with neXT Athena library... $ECHO_C" >&6 withval= @@ -9721,14 +9757,14 @@ fi; if test "$withval" = yes ; then cf_x_athena=neXtaw - echo "$as_me:9724: result: yes" >&5 + echo "$as_me:9760: result: yes" >&5 echo "${ECHO_T}yes" >&6 else - echo "$as_me:9727: result: no" >&5 + echo "$as_me:9763: result: no" >&5 echo "${ECHO_T}no" >&6 fi -echo "$as_me:9731: checking if you want to link with Athena-Plus library" >&5 +echo "$as_me:9767: checking if you want to link with Athena-Plus library" >&5 echo $ECHO_N "checking if you want to link with Athena-Plus library... $ECHO_C" >&6 withval= @@ -9739,14 +9775,14 @@ fi; if test "$withval" = yes ; then cf_x_athena=XawPlus - echo "$as_me:9742: result: yes" >&5 + echo "$as_me:9778: result: yes" >&5 echo "${ECHO_T}yes" >&6 else - echo "$as_me:9745: result: no" >&5 + echo "$as_me:9781: result: no" >&5 echo "${ECHO_T}no" >&6 fi -echo "$as_me:9749: checking for XextCreateExtension in -lXext" >&5 +echo "$as_me:9785: checking for XextCreateExtension in -lXext" >&5 echo $ECHO_N "checking for XextCreateExtension in -lXext... $ECHO_C" >&6 if test "${ac_cv_lib_Xext_XextCreateExtension+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -9754,7 +9790,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lXext $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 9757 "configure" +#line 9793 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -9773,16 +9809,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:9776: \"$ac_link\"") >&5 +if { (eval echo "$as_me:9812: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:9779: \$? = $ac_status" >&5 + echo "$as_me:9815: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:9782: \"$ac_try\"") >&5 + { (eval echo "$as_me:9818: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:9785: \$? = $ac_status" >&5 + echo "$as_me:9821: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_Xext_XextCreateExtension=yes else @@ -9793,7 +9829,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:9796: result: $ac_cv_lib_Xext_XextCreateExtension" >&5 +echo "$as_me:9832: result: $ac_cv_lib_Xext_XextCreateExtension" >&5 echo "${ECHO_T}$ac_cv_lib_Xext_XextCreateExtension" >&6 if test $ac_cv_lib_Xext_XextCreateExtension = yes; then LIBS="-lXext $LIBS" @@ -9815,14 +9851,14 @@ cf_test=X11/$cf_x_athena_root/SimpleMenu.h if test $cf_path != default ; then CPPFLAGS="-I$cf_path/include $cf_save" - echo "$as_me:9818: checking for $cf_test in $cf_path" >&5 + echo "$as_me:9854: checking for $cf_test in $cf_path" >&5 echo $ECHO_N "checking for $cf_test in $cf_path... $ECHO_C" >&6 else - echo "$as_me:9821: checking for $cf_test" >&5 + echo "$as_me:9857: checking for $cf_test" >&5 echo $ECHO_N "checking for $cf_test... $ECHO_C" >&6 fi cat >conftest.$ac_ext <<_ACEOF -#line 9825 "configure" +#line 9861 "configure" #include "confdefs.h" #include <X11/Intrinsic.h> @@ -9836,16 +9872,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:9839: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:9875: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:9842: \$? = $ac_status" >&5 + echo "$as_me:9878: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:9845: \"$ac_try\"") >&5 + { (eval echo "$as_me:9881: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:9848: \$? = $ac_status" >&5 + echo "$as_me:9884: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_result=yes else @@ -9854,7 +9890,7 @@ cf_result=no fi rm -f conftest.$ac_objext conftest.$ac_ext - echo "$as_me:9857: result: $cf_result" >&5 + echo "$as_me:9893: result: $cf_result" >&5 echo "${ECHO_T}$cf_result" >&6 if test "$cf_result" = yes ; then cf_x_athena_include=$cf_path @@ -9866,7 +9902,7 @@ done if test -z "$cf_x_athena_include" ; then - { echo "$as_me:9869: WARNING: Unable to successfully find Athena header files with test program" >&5 + { echo "$as_me:9905: WARNING: Unable to successfully find Athena header files with test program" >&5 echo "$as_me: WARNING: Unable to successfully find Athena header files with test program" >&2;} elif test "$cf_x_athena_include" != default ; then CPPFLAGS="$CPPFLAGS -I$cf_x_athena_include" @@ -9891,17 +9927,17 @@ cf_test=XawSimpleMenuAddGlobalActions if test $cf_path != default ; then LIBS="-L$cf_path/lib $cf_lib $LIBS" - echo "$as_me:9894: checking for $cf_lib in $cf_path" >&5 + echo "$as_me:9930: checking for $cf_lib in $cf_path" >&5 echo $ECHO_N "checking for $cf_lib in $cf_path... $ECHO_C" >&6 else LIBS="$cf_lib $LIBS" - echo "$as_me:9898: checking for $cf_test in $cf_lib" >&5 + echo "$as_me:9934: checking for $cf_test in $cf_lib" >&5 echo $ECHO_N "checking for $cf_test in $cf_lib... $ECHO_C" >&6 fi cf_SAVE="$LIBS" LIBS="$X_PRE_LIBS $LIBS $X_EXTRA_LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 9904 "configure" +#line 9940 "configure" #include "confdefs.h" int @@ -9913,16 +9949,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:9916: \"$ac_link\"") >&5 +if { (eval echo "$as_me:9952: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:9919: \$? = $ac_status" >&5 + echo "$as_me:9955: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:9922: \"$ac_try\"") >&5 + { (eval echo "$as_me:9958: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:9925: \$? = $ac_status" >&5 + echo "$as_me:9961: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_result=yes else @@ -9931,7 +9967,7 @@ cf_result=no fi rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext - echo "$as_me:9934: result: $cf_result" >&5 + echo "$as_me:9970: result: $cf_result" >&5 echo "${ECHO_T}$cf_result" >&6 if test "$cf_result" = yes ; then cf_x_athena_lib="$cf_lib" @@ -9945,7 +9981,7 @@ done if test -z "$cf_x_athena_lib" ; then - { { echo "$as_me:9948: error: Unable to successfully link Athena library (-l$cf_x_athena_root) with test program" >&5 + { { echo "$as_me:9984: error: Unable to successfully link Athena library (-l$cf_x_athena_root) with test program" >&5 echo "$as_me: error: Unable to successfully link Athena library (-l$cf_x_athena_root) with test program" >&2;} { (exit 1); exit 1; }; } fi @@ -9981,7 +10017,7 @@ #define DISP_CURSES 1 EOF -echo "$as_me:9984: checking for extra include directories" >&5 +echo "$as_me:10020: checking for extra include directories" >&5 echo $ECHO_N "checking for extra include directories... $ECHO_C" >&6 if test "${cf_cv_curses_incdir+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -10001,11 +10037,11 @@ esac fi -echo "$as_me:10004: result: $cf_cv_curses_incdir" >&5 +echo "$as_me:10040: result: $cf_cv_curses_incdir" >&5 echo "${ECHO_T}$cf_cv_curses_incdir" >&6 test "$cf_cv_curses_incdir" != no && CPPFLAGS="$cf_cv_curses_incdir $CPPFLAGS" -echo "$as_me:10008: checking if we have identified curses headers" >&5 +echo "$as_me:10044: checking if we have identified curses headers" >&5 echo $ECHO_N "checking if we have identified curses headers... $ECHO_C" >&6 if test "${cf_cv_ncurses_header+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -10019,7 +10055,7 @@ ncurses/ncurses.h do cat >conftest.$ac_ext <<_ACEOF -#line 10022 "configure" +#line 10058 "configure" #include "confdefs.h" #include <${cf_header}> int @@ -10031,16 +10067,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:10034: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:10070: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:10037: \$? = $ac_status" >&5 + echo "$as_me:10073: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:10040: \"$ac_try\"") >&5 + { (eval echo "$as_me:10076: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:10043: \$? = $ac_status" >&5 + echo "$as_me:10079: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_ncurses_header=$cf_header; break else @@ -10051,11 +10087,11 @@ done fi -echo "$as_me:10054: result: $cf_cv_ncurses_header" >&5 +echo "$as_me:10090: result: $cf_cv_ncurses_header" >&5 echo "${ECHO_T}$cf_cv_ncurses_header" >&6 if test "$cf_cv_ncurses_header" = none ; then - { { echo "$as_me:10058: error: No curses header-files found" >&5 + { { echo "$as_me:10094: error: No curses header-files found" >&5 echo "$as_me: error: No curses header-files found" >&2;} { (exit 1); exit 1; }; } fi @@ -10065,23 +10101,23 @@ for ac_header in $cf_cv_ncurses_header do as_ac_Header=`echo "ac_cv_header_$ac_header" | $as_tr_sh` -echo "$as_me:10068: checking for $ac_header" >&5 +echo "$as_me:10104: checking for $ac_header" >&5 echo $ECHO_N "checking for $ac_header... $ECHO_C" >&6 if eval "test \"\${$as_ac_Header+set}\" = set"; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 10074 "configure" +#line 10110 "configure" #include "confdefs.h" #include <$ac_header> _ACEOF -if { (eval echo "$as_me:10078: \"$ac_cpp conftest.$ac_ext\"") >&5 +if { (eval echo "$as_me:10114: \"$ac_cpp conftest.$ac_ext\"") >&5 (eval $ac_cpp conftest.$ac_ext) 2>conftest.er1 ac_status=$? egrep -v '^ *\+' conftest.er1 >conftest.err rm -f conftest.er1 cat conftest.err >&5 - echo "$as_me:10084: \$? = $ac_status" >&5 + echo "$as_me:10120: \$? = $ac_status" >&5 (exit $ac_status); } >/dev/null; then if test -s conftest.err; then ac_cpp_err=$ac_c_preproc_warn_flag @@ -10100,7 +10136,7 @@ fi rm -f conftest.err conftest.$ac_ext fi -echo "$as_me:10103: result: `eval echo '${'$as_ac_Header'}'`" >&5 +echo "$as_me:10139: result: `eval echo '${'$as_ac_Header'}'`" >&5 echo "${ECHO_T}`eval echo '${'$as_ac_Header'}'`" >&6 if test `eval echo '${'$as_ac_Header'}'` = yes; then cat >>confdefs.h <<EOF @@ -10110,7 +10146,7 @@ fi done -echo "$as_me:10113: checking for ncurses version" >&5 +echo "$as_me:10149: checking for ncurses version" >&5 echo $ECHO_N "checking for ncurses version... $ECHO_C" >&6 if test "${cf_cv_ncurses_version+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -10136,10 +10172,10 @@ #endif EOF cf_try="$ac_cpp conftest.$ac_ext 2>&5 | grep '^Autoconf ' >conftest.out" - { (eval echo "$as_me:10139: \"$cf_try\"") >&5 + { (eval echo "$as_me:10175: \"$cf_try\"") >&5 (eval $cf_try) 2>&5 ac_status=$? - echo "$as_me:10142: \$? = $ac_status" >&5 + echo "$as_me:10178: \$? = $ac_status" >&5 (exit $ac_status); } if test -f conftest.out ; then cf_out=`cat conftest.out | sed -e 's%^Autoconf %%' -e 's%^[^"]*"%%' -e 's%".*%%'` @@ -10149,7 +10185,7 @@ else cat >conftest.$ac_ext <<_ACEOF -#line 10152 "configure" +#line 10188 "configure" #include "confdefs.h" #include <${cf_cv_ncurses_header-curses.h}> @@ -10174,15 +10210,15 @@ } _ACEOF rm -f conftest$ac_exeext -if { (eval echo "$as_me:10177: \"$ac_link\"") >&5 +if { (eval echo "$as_me:10213: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:10180: \$? = $ac_status" >&5 + echo "$as_me:10216: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='./conftest$ac_exeext' - { (eval echo "$as_me:10182: \"$ac_try\"") >&5 + { (eval echo "$as_me:10218: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:10185: \$? = $ac_status" >&5 + echo "$as_me:10221: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_ncurses_version=`cat $cf_tempfile` @@ -10196,16 +10232,16 @@ rm -f $cf_tempfile fi -echo "$as_me:10199: result: $cf_cv_ncurses_version" >&5 +echo "$as_me:10235: result: $cf_cv_ncurses_version" >&5 echo "${ECHO_T}$cf_cv_ncurses_version" >&6 test "$cf_cv_ncurses_version" = no || cat >>confdefs.h <<\EOF #define NCURSES 1 EOF -echo "$as_me:10205: checking if we have identified curses libraries" >&5 +echo "$as_me:10241: checking if we have identified curses libraries" >&5 echo $ECHO_N "checking if we have identified curses libraries... $ECHO_C" >&6 cat >conftest.$ac_ext <<_ACEOF -#line 10208 "configure" +#line 10244 "configure" #include "confdefs.h" #include <${cf_cv_ncurses_header-curses.h}> int @@ -10217,16 +10253,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:10220: \"$ac_link\"") >&5 +if { (eval echo "$as_me:10256: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:10223: \$? = $ac_status" >&5 + echo "$as_me:10259: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:10226: \"$ac_try\"") >&5 + { (eval echo "$as_me:10262: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:10229: \$? = $ac_status" >&5 + echo "$as_me:10265: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_result=yes else @@ -10235,13 +10271,13 @@ cf_result=no fi rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext -echo "$as_me:10238: result: $cf_result" >&5 +echo "$as_me:10274: result: $cf_result" >&5 echo "${ECHO_T}$cf_result" >&6 if test "$cf_result" = no ; then case $host_os in #(vi freebsd*) #(vi - echo "$as_me:10244: checking for tgoto in -lmytinfo" >&5 + echo "$as_me:10280: checking for tgoto in -lmytinfo" >&5 echo $ECHO_N "checking for tgoto in -lmytinfo... $ECHO_C" >&6 if test "${ac_cv_lib_mytinfo_tgoto+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -10249,7 +10285,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lmytinfo $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 10252 "configure" +#line 10288 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -10268,16 +10304,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:10271: \"$ac_link\"") >&5 +if { (eval echo "$as_me:10307: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:10274: \$? = $ac_status" >&5 + echo "$as_me:10310: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:10277: \"$ac_try\"") >&5 + { (eval echo "$as_me:10313: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:10280: \$? = $ac_status" >&5 + echo "$as_me:10316: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_mytinfo_tgoto=yes else @@ -10288,7 +10324,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:10291: result: $ac_cv_lib_mytinfo_tgoto" >&5 +echo "$as_me:10327: result: $ac_cv_lib_mytinfo_tgoto" >&5 echo "${ECHO_T}$ac_cv_lib_mytinfo_tgoto" >&6 if test $ac_cv_lib_mytinfo_tgoto = yes; then LIBS="-lmytinfo $LIBS" @@ -10296,7 +10332,7 @@ ;; hpux10.*|hpux11.*) #(vi - echo "$as_me:10299: checking for initscr in -lcur_colr" >&5 + echo "$as_me:10335: checking for initscr in -lcur_colr" >&5 echo $ECHO_N "checking for initscr in -lcur_colr... $ECHO_C" >&6 if test "${ac_cv_lib_cur_colr_initscr+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -10304,7 +10340,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lcur_colr $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 10307 "configure" +#line 10343 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -10323,16 +10359,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:10326: \"$ac_link\"") >&5 +if { (eval echo "$as_me:10362: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:10329: \$? = $ac_status" >&5 + echo "$as_me:10365: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:10332: \"$ac_try\"") >&5 + { (eval echo "$as_me:10368: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:10335: \$? = $ac_status" >&5 + echo "$as_me:10371: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_cur_colr_initscr=yes else @@ -10343,7 +10379,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:10346: result: $ac_cv_lib_cur_colr_initscr" >&5 +echo "$as_me:10382: result: $ac_cv_lib_cur_colr_initscr" >&5 echo "${ECHO_T}$ac_cv_lib_cur_colr_initscr" >&6 if test $ac_cv_lib_cur_colr_initscr = yes; then @@ -10352,7 +10388,7 @@ else - echo "$as_me:10355: checking for initscr in -lHcurses" >&5 + echo "$as_me:10391: checking for initscr in -lHcurses" >&5 echo $ECHO_N "checking for initscr in -lHcurses... $ECHO_C" >&6 if test "${ac_cv_lib_Hcurses_initscr+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -10360,7 +10396,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lHcurses $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 10363 "configure" +#line 10399 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -10379,16 +10415,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:10382: \"$ac_link\"") >&5 +if { (eval echo "$as_me:10418: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:10385: \$? = $ac_status" >&5 + echo "$as_me:10421: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:10388: \"$ac_try\"") >&5 + { (eval echo "$as_me:10424: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:10391: \$? = $ac_status" >&5 + echo "$as_me:10427: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_Hcurses_initscr=yes else @@ -10399,7 +10435,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:10402: result: $ac_cv_lib_Hcurses_initscr" >&5 +echo "$as_me:10438: result: $ac_cv_lib_Hcurses_initscr" >&5 echo "${ECHO_T}$ac_cv_lib_Hcurses_initscr" >&6 if test $ac_cv_lib_Hcurses_initscr = yes; then @@ -10437,13 +10473,13 @@ # Check for library containing tgoto. Do this before curses library # because it may be needed to link the test-case for initscr. - echo "$as_me:10440: checking for tgoto" >&5 + echo "$as_me:10476: checking for tgoto" >&5 echo $ECHO_N "checking for tgoto... $ECHO_C" >&6 if test "${ac_cv_func_tgoto+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 10446 "configure" +#line 10482 "configure" #include "confdefs.h" /* System header to define __stub macros and hopefully few prototypes, which can conflict with char tgoto (); below. */ @@ -10474,16 +10510,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:10477: \"$ac_link\"") >&5 +if { (eval echo "$as_me:10513: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:10480: \$? = $ac_status" >&5 + echo "$as_me:10516: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:10483: \"$ac_try\"") >&5 + { (eval echo "$as_me:10519: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:10486: \$? = $ac_status" >&5 + echo "$as_me:10522: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_func_tgoto=yes else @@ -10493,7 +10529,7 @@ fi rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext fi -echo "$as_me:10496: result: $ac_cv_func_tgoto" >&5 +echo "$as_me:10532: result: $ac_cv_func_tgoto" >&5 echo "${ECHO_T}$ac_cv_func_tgoto" >&6 if test $ac_cv_func_tgoto = yes; then cf_term_lib=predefined @@ -10502,7 +10538,7 @@ for cf_term_lib in $cf_check_list termcap termlib unknown do as_ac_Lib=`echo "ac_cv_lib_$cf_term_lib''_tgoto" | $as_tr_sh` -echo "$as_me:10505: checking for tgoto in -l$cf_term_lib" >&5 +echo "$as_me:10541: checking for tgoto in -l$cf_term_lib" >&5 echo $ECHO_N "checking for tgoto in -l$cf_term_lib... $ECHO_C" >&6 if eval "test \"\${$as_ac_Lib+set}\" = set"; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -10510,7 +10546,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-l$cf_term_lib $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 10513 "configure" +#line 10549 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -10529,16 +10565,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:10532: \"$ac_link\"") >&5 +if { (eval echo "$as_me:10568: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:10535: \$? = $ac_status" >&5 + echo "$as_me:10571: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:10538: \"$ac_try\"") >&5 + { (eval echo "$as_me:10574: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:10541: \$? = $ac_status" >&5 + echo "$as_me:10577: \$? = $ac_status" >&5 (exit $ac_status); }; }; then eval "$as_ac_Lib=yes" else @@ -10549,7 +10585,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:10552: result: `eval echo '${'$as_ac_Lib'}'`" >&5 +echo "$as_me:10588: result: `eval echo '${'$as_ac_Lib'}'`" >&5 echo "${ECHO_T}`eval echo '${'$as_ac_Lib'}'`" >&6 if test `eval echo '${'$as_ac_Lib'}'` = yes; then break @@ -10564,7 +10600,7 @@ for cf_curs_lib in $cf_check_list xcurses jcurses unknown do as_ac_Lib=`echo "ac_cv_lib_$cf_curs_lib''_initscr" | $as_tr_sh` -echo "$as_me:10567: checking for initscr in -l$cf_curs_lib" >&5 +echo "$as_me:10603: checking for initscr in -l$cf_curs_lib" >&5 echo $ECHO_N "checking for initscr in -l$cf_curs_lib... $ECHO_C" >&6 if eval "test \"\${$as_ac_Lib+set}\" = set"; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -10572,7 +10608,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-l$cf_curs_lib $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 10575 "configure" +#line 10611 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -10591,16 +10627,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:10594: \"$ac_link\"") >&5 +if { (eval echo "$as_me:10630: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:10597: \$? = $ac_status" >&5 + echo "$as_me:10633: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:10600: \"$ac_try\"") >&5 + { (eval echo "$as_me:10636: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:10603: \$? = $ac_status" >&5 + echo "$as_me:10639: \$? = $ac_status" >&5 (exit $ac_status); }; }; then eval "$as_ac_Lib=yes" else @@ -10611,23 +10647,23 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:10614: result: `eval echo '${'$as_ac_Lib'}'`" >&5 +echo "$as_me:10650: result: `eval echo '${'$as_ac_Lib'}'`" >&5 echo "${ECHO_T}`eval echo '${'$as_ac_Lib'}'`" >&6 if test `eval echo '${'$as_ac_Lib'}'` = yes; then break fi done - test $cf_curs_lib = unknown && { { echo "$as_me:10621: error: no curses library found" >&5 + test $cf_curs_lib = unknown && { { echo "$as_me:10657: error: no curses library found" >&5 echo "$as_me: error: no curses library found" >&2;} { (exit 1); exit 1; }; } LIBS="-l$cf_curs_lib $cf_save_LIBS" if test "$cf_term_lib" = unknown ; then - echo "$as_me:10627: checking if we can link with $cf_curs_lib library" >&5 + echo "$as_me:10663: checking if we can link with $cf_curs_lib library" >&5 echo $ECHO_N "checking if we can link with $cf_curs_lib library... $ECHO_C" >&6 cat >conftest.$ac_ext <<_ACEOF -#line 10630 "configure" +#line 10666 "configure" #include "confdefs.h" #include <${cf_cv_ncurses_header-curses.h}> int @@ -10639,16 +10675,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:10642: \"$ac_link\"") >&5 +if { (eval echo "$as_me:10678: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:10645: \$? = $ac_status" >&5 + echo "$as_me:10681: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:10648: \"$ac_try\"") >&5 + { (eval echo "$as_me:10684: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:10651: \$? = $ac_status" >&5 + echo "$as_me:10687: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_result=yes else @@ -10657,18 +10693,18 @@ cf_result=no fi rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext - echo "$as_me:10660: result: $cf_result" >&5 + echo "$as_me:10696: result: $cf_result" >&5 echo "${ECHO_T}$cf_result" >&6 - test $cf_result = no && { { echo "$as_me:10662: error: Cannot link curses library" >&5 + test $cf_result = no && { { echo "$as_me:10698: error: Cannot link curses library" >&5 echo "$as_me: error: Cannot link curses library" >&2;} { (exit 1); exit 1; }; } elif test "$cf_curs_lib" = "$cf_term_lib" ; then : elif test "$cf_term_lib" != predefined ; then - echo "$as_me:10668: checking if we need both $cf_curs_lib and $cf_term_lib libraries" >&5 + echo "$as_me:10704: checking if we need both $cf_curs_lib and $cf_term_lib libraries" >&5 echo $ECHO_N "checking if we need both $cf_curs_lib and $cf_term_lib libraries... $ECHO_C" >&6 cat >conftest.$ac_ext <<_ACEOF -#line 10671 "configure" +#line 10707 "configure" #include "confdefs.h" #include <${cf_cv_ncurses_header-curses.h}> int @@ -10680,16 +10716,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:10683: \"$ac_link\"") >&5 +if { (eval echo "$as_me:10719: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:10686: \$? = $ac_status" >&5 + echo "$as_me:10722: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:10689: \"$ac_try\"") >&5 + { (eval echo "$as_me:10725: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:10692: \$? = $ac_status" >&5 + echo "$as_me:10728: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_result=no else @@ -10698,7 +10734,7 @@ LIBS="-l$cf_curs_lib -l$cf_term_lib $cf_save_LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 10701 "configure" +#line 10737 "configure" #include "confdefs.h" #include <${cf_cv_ncurses_header-curses.h}> int @@ -10710,16 +10746,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:10713: \"$ac_link\"") >&5 +if { (eval echo "$as_me:10749: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:10716: \$? = $ac_status" >&5 + echo "$as_me:10752: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:10719: \"$ac_try\"") >&5 + { (eval echo "$as_me:10755: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:10722: \$? = $ac_status" >&5 + echo "$as_me:10758: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_result=yes else @@ -10731,7 +10767,7 @@ fi rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext - echo "$as_me:10734: result: $cf_result" >&5 + echo "$as_me:10770: result: $cf_result" >&5 echo "${ECHO_T}$cf_result" >&6 fi fi @@ -10768,7 +10804,7 @@ withval=`echo $withval | sed -e s%NONE%$ac_default_prefix%` ;; *) - { { echo "$as_me:10771: error: expected a pathname, not \"$withval\"" >&5 + { { echo "$as_me:10807: error: expected a pathname, not \"$withval\"" >&5 echo "$as_me: error: expected a pathname, not \"$withval\"" >&2;} { (exit 1); exit 1; }; } ;; @@ -10785,7 +10821,7 @@ test "$cf_cv_curses_dir" != "no" && \ CPPFLAGS="-I$cf_cv_curses_dir/include -I$cf_cv_curses_dir/include/$cf_ncuhdr_root $CPPFLAGS" -echo "$as_me:10788: checking for $cf_ncuhdr_root header in include-path" >&5 +echo "$as_me:10824: checking for $cf_ncuhdr_root header in include-path" >&5 echo $ECHO_N "checking for $cf_ncuhdr_root header in include-path... $ECHO_C" >&6 if test "${cf_cv_ncurses_h+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -10797,7 +10833,7 @@ do cat >conftest.$ac_ext <<_ACEOF -#line 10800 "configure" +#line 10836 "configure" #include "confdefs.h" #include <$cf_header> @@ -10821,16 +10857,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:10824: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:10860: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:10827: \$? = $ac_status" >&5 + echo "$as_me:10863: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:10830: \"$ac_try\"") >&5 + { (eval echo "$as_me:10866: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:10833: \$? = $ac_status" >&5 + echo "$as_me:10869: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_ncurses_h=$cf_header @@ -10845,13 +10881,13 @@ done fi -echo "$as_me:10848: result: $cf_cv_ncurses_h" >&5 +echo "$as_me:10884: result: $cf_cv_ncurses_h" >&5 echo "${ECHO_T}$cf_cv_ncurses_h" >&6 if test "$cf_cv_ncurses_h" != no ; then cf_cv_ncurses_header=$cf_cv_ncurses_h else -echo "$as_me:10854: checking for $cf_ncuhdr_root include-path" >&5 +echo "$as_me:10890: checking for $cf_ncuhdr_root include-path" >&5 echo $ECHO_N "checking for $cf_ncuhdr_root include-path... $ECHO_C" >&6 if test "${cf_cv_ncurses_h2+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -10946,7 +10982,7 @@ cf_save_CPPFLAGS="$CPPFLAGS" CPPFLAGS="$CPPFLAGS -I$cf_add_incdir" cat >conftest.$ac_ext <<_ACEOF -#line 10949 "configure" +#line 10985 "configure" #include "confdefs.h" #include <stdio.h> int @@ -10958,16 +10994,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:10961: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:10997: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:10964: \$? = $ac_status" >&5 + echo "$as_me:11000: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:10967: \"$ac_try\"") >&5 + { (eval echo "$as_me:11003: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:10970: \$? = $ac_status" >&5 + echo "$as_me:11006: \$? = $ac_status" >&5 (exit $ac_status); }; }; then : else @@ -10994,7 +11030,7 @@ do cat >conftest.$ac_ext <<_ACEOF -#line 10997 "configure" +#line 11033 "configure" #include "confdefs.h" #include <$cf_header> @@ -11018,16 +11054,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:11021: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:11057: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:11024: \$? = $ac_status" >&5 + echo "$as_me:11060: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:11027: \"$ac_try\"") >&5 + { (eval echo "$as_me:11063: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:11030: \$? = $ac_status" >&5 + echo "$as_me:11066: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_ncurses_h2=$cf_header @@ -11048,12 +11084,12 @@ CPPFLAGS="$cf_save2_CPPFLAGS" test "$cf_cv_ncurses_h2" != no && break done - test "$cf_cv_ncurses_h2" = no && { { echo "$as_me:11051: error: not found" >&5 + test "$cf_cv_ncurses_h2" = no && { { echo "$as_me:11087: error: not found" >&5 echo "$as_me: error: not found" >&2;} { (exit 1); exit 1; }; } fi -echo "$as_me:11056: result: $cf_cv_ncurses_h2" >&5 +echo "$as_me:11092: result: $cf_cv_ncurses_h2" >&5 echo "${ECHO_T}$cf_cv_ncurses_h2" >&6 cf_1st_incdir=`echo $cf_cv_ncurses_h2 | sed -e 's%/[^/]*$%%'` @@ -11075,7 +11111,7 @@ cf_save_CPPFLAGS="$CPPFLAGS" CPPFLAGS="$CPPFLAGS -I$cf_add_incdir" cat >conftest.$ac_ext <<_ACEOF -#line 11078 "configure" +#line 11114 "configure" #include "confdefs.h" #include <stdio.h> int @@ -11087,16 +11123,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:11090: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:11126: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:11093: \$? = $ac_status" >&5 + echo "$as_me:11129: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:11096: \"$ac_try\"") >&5 + { (eval echo "$as_me:11132: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:11099: \$? = $ac_status" >&5 + echo "$as_me:11135: \$? = $ac_status" >&5 (exit $ac_status); }; }; then : else @@ -11147,7 +11183,7 @@ ;; esac -echo "$as_me:11150: checking for ncurses version" >&5 +echo "$as_me:11186: checking for ncurses version" >&5 echo $ECHO_N "checking for ncurses version... $ECHO_C" >&6 if test "${cf_cv_ncurses_version+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -11173,10 +11209,10 @@ #endif EOF cf_try="$ac_cpp conftest.$ac_ext 2>&5 | grep '^Autoconf ' >conftest.out" - { (eval echo "$as_me:11176: \"$cf_try\"") >&5 + { (eval echo "$as_me:11212: \"$cf_try\"") >&5 (eval $cf_try) 2>&5 ac_status=$? - echo "$as_me:11179: \$? = $ac_status" >&5 + echo "$as_me:11215: \$? = $ac_status" >&5 (exit $ac_status); } if test -f conftest.out ; then cf_out=`cat conftest.out | sed -e 's%^Autoconf %%' -e 's%^[^"]*"%%' -e 's%".*%%'` @@ -11186,7 +11222,7 @@ else cat >conftest.$ac_ext <<_ACEOF -#line 11189 "configure" +#line 11225 "configure" #include "confdefs.h" #include <${cf_cv_ncurses_header-curses.h}> @@ -11211,15 +11247,15 @@ } _ACEOF rm -f conftest$ac_exeext -if { (eval echo "$as_me:11214: \"$ac_link\"") >&5 +if { (eval echo "$as_me:11250: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:11217: \$? = $ac_status" >&5 + echo "$as_me:11253: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='./conftest$ac_exeext' - { (eval echo "$as_me:11219: \"$ac_try\"") >&5 + { (eval echo "$as_me:11255: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:11222: \$? = $ac_status" >&5 + echo "$as_me:11258: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_ncurses_version=`cat $cf_tempfile` @@ -11233,7 +11269,7 @@ rm -f $cf_tempfile fi -echo "$as_me:11236: result: $cf_cv_ncurses_version" >&5 +echo "$as_me:11272: result: $cf_cv_ncurses_version" >&5 echo "${ECHO_T}$cf_cv_ncurses_version" >&6 test "$cf_cv_ncurses_version" = no || cat >>confdefs.h <<\EOF #define NCURSES 1 @@ -11245,7 +11281,7 @@ # to link gpm. cf_ncurses_LIBS="" cf_ncurses_SAVE="$LIBS" -echo "$as_me:11248: checking for Gpm_Open in -lgpm" >&5 +echo "$as_me:11284: checking for Gpm_Open in -lgpm" >&5 echo $ECHO_N "checking for Gpm_Open in -lgpm... $ECHO_C" >&6 if test "${ac_cv_lib_gpm_Gpm_Open+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -11253,7 +11289,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lgpm $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 11256 "configure" +#line 11292 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -11272,16 +11308,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:11275: \"$ac_link\"") >&5 +if { (eval echo "$as_me:11311: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:11278: \$? = $ac_status" >&5 + echo "$as_me:11314: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:11281: \"$ac_try\"") >&5 + { (eval echo "$as_me:11317: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:11284: \$? = $ac_status" >&5 + echo "$as_me:11320: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_gpm_Gpm_Open=yes else @@ -11292,10 +11328,10 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:11295: result: $ac_cv_lib_gpm_Gpm_Open" >&5 +echo "$as_me:11331: result: $ac_cv_lib_gpm_Gpm_Open" >&5 echo "${ECHO_T}$ac_cv_lib_gpm_Gpm_Open" >&6 if test $ac_cv_lib_gpm_Gpm_Open = yes; then - echo "$as_me:11298: checking for initscr in -lgpm" >&5 + echo "$as_me:11334: checking for initscr in -lgpm" >&5 echo $ECHO_N "checking for initscr in -lgpm... $ECHO_C" >&6 if test "${ac_cv_lib_gpm_initscr+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -11303,7 +11339,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lgpm $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 11306 "configure" +#line 11342 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -11322,16 +11358,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:11325: \"$ac_link\"") >&5 +if { (eval echo "$as_me:11361: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:11328: \$? = $ac_status" >&5 + echo "$as_me:11364: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:11331: \"$ac_try\"") >&5 + { (eval echo "$as_me:11367: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:11334: \$? = $ac_status" >&5 + echo "$as_me:11370: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_gpm_initscr=yes else @@ -11342,7 +11378,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:11345: result: $ac_cv_lib_gpm_initscr" >&5 +echo "$as_me:11381: result: $ac_cv_lib_gpm_initscr" >&5 echo "${ECHO_T}$ac_cv_lib_gpm_initscr" >&6 if test $ac_cv_lib_gpm_initscr = yes; then LIBS="$cf_ncurses_SAVE" @@ -11357,7 +11393,7 @@ # This is only necessary if you are linking against an obsolete # version of ncurses (but it should do no harm, since it's static). if test "$cf_nculib_root" = ncurses ; then - echo "$as_me:11360: checking for tgoto in -lmytinfo" >&5 + echo "$as_me:11396: checking for tgoto in -lmytinfo" >&5 echo $ECHO_N "checking for tgoto in -lmytinfo... $ECHO_C" >&6 if test "${ac_cv_lib_mytinfo_tgoto+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -11365,7 +11401,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lmytinfo $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 11368 "configure" +#line 11404 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -11384,16 +11420,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:11387: \"$ac_link\"") >&5 +if { (eval echo "$as_me:11423: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:11390: \$? = $ac_status" >&5 + echo "$as_me:11426: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:11393: \"$ac_try\"") >&5 + { (eval echo "$as_me:11429: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:11396: \$? = $ac_status" >&5 + echo "$as_me:11432: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_mytinfo_tgoto=yes else @@ -11404,7 +11440,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:11407: result: $ac_cv_lib_mytinfo_tgoto" >&5 +echo "$as_me:11443: result: $ac_cv_lib_mytinfo_tgoto" >&5 echo "${ECHO_T}$ac_cv_lib_mytinfo_tgoto" >&6 if test $ac_cv_lib_mytinfo_tgoto = yes; then cf_ncurses_LIBS="-lmytinfo $cf_ncurses_LIBS" @@ -11423,13 +11459,13 @@ eval 'cf_cv_have_lib_'$cf_nculib_root'=no' cf_libdir="" - echo "$as_me:11426: checking for initscr" >&5 + echo "$as_me:11462: checking for initscr" >&5 echo $ECHO_N "checking for initscr... $ECHO_C" >&6 if test "${ac_cv_func_initscr+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 11432 "configure" +#line 11468 "configure" #include "confdefs.h" /* System header to define __stub macros and hopefully few prototypes, which can conflict with char initscr (); below. */ @@ -11460,16 +11496,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:11463: \"$ac_link\"") >&5 +if { (eval echo "$as_me:11499: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:11466: \$? = $ac_status" >&5 + echo "$as_me:11502: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:11469: \"$ac_try\"") >&5 + { (eval echo "$as_me:11505: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:11472: \$? = $ac_status" >&5 + echo "$as_me:11508: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_func_initscr=yes else @@ -11479,18 +11515,18 @@ fi rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext fi -echo "$as_me:11482: result: $ac_cv_func_initscr" >&5 +echo "$as_me:11518: result: $ac_cv_func_initscr" >&5 echo "${ECHO_T}$ac_cv_func_initscr" >&6 if test $ac_cv_func_initscr = yes; then eval 'cf_cv_have_lib_'$cf_nculib_root'=yes' else cf_save_LIBS="$LIBS" - echo "$as_me:11489: checking for initscr in -l$cf_nculib_root" >&5 + echo "$as_me:11525: checking for initscr in -l$cf_nculib_root" >&5 echo $ECHO_N "checking for initscr in -l$cf_nculib_root... $ECHO_C" >&6 LIBS="-l$cf_nculib_root $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 11493 "configure" +#line 11529 "configure" #include "confdefs.h" #include <${cf_cv_ncurses_header-curses.h}> int @@ -11502,25 +11538,25 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:11505: \"$ac_link\"") >&5 +if { (eval echo "$as_me:11541: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:11508: \$? = $ac_status" >&5 + echo "$as_me:11544: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:11511: \"$ac_try\"") >&5 + { (eval echo "$as_me:11547: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:11514: \$? = $ac_status" >&5 + echo "$as_me:11550: \$? = $ac_status" >&5 (exit $ac_status); }; }; then - echo "$as_me:11516: result: yes" >&5 + echo "$as_me:11552: result: yes" >&5 echo "${ECHO_T}yes" >&6 eval 'cf_cv_have_lib_'$cf_nculib_root'=yes' else echo "$as_me: failed program was:" >&5 cat conftest.$ac_ext >&5 -echo "$as_me:11523: result: no" >&5 +echo "$as_me:11559: result: no" >&5 echo "${ECHO_T}no" >&6 cf_search="" @@ -11580,11 +11616,11 @@ for cf_libdir in $cf_search do - echo "$as_me:11583: checking for -l$cf_nculib_root in $cf_libdir" >&5 + echo "$as_me:11619: checking for -l$cf_nculib_root in $cf_libdir" >&5 echo $ECHO_N "checking for -l$cf_nculib_root in $cf_libdir... $ECHO_C" >&6 LIBS="-L$cf_libdir -l$cf_nculib_root $cf_save_LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 11587 "configure" +#line 11623 "configure" #include "confdefs.h" #include <${cf_cv_ncurses_header-curses.h}> int @@ -11596,25 +11632,25 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:11599: \"$ac_link\"") >&5 +if { (eval echo "$as_me:11635: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:11602: \$? = $ac_status" >&5 + echo "$as_me:11638: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:11605: \"$ac_try\"") >&5 + { (eval echo "$as_me:11641: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:11608: \$? = $ac_status" >&5 + echo "$as_me:11644: \$? = $ac_status" >&5 (exit $ac_status); }; }; then - echo "$as_me:11610: result: yes" >&5 + echo "$as_me:11646: result: yes" >&5 echo "${ECHO_T}yes" >&6 eval 'cf_cv_have_lib_'$cf_nculib_root'=yes' break else echo "$as_me: failed program was:" >&5 cat conftest.$ac_ext >&5 -echo "$as_me:11617: result: no" >&5 +echo "$as_me:11653: result: no" >&5 echo "${ECHO_T}no" >&6 LIBS="$cf_save_LIBS" fi @@ -11629,7 +11665,7 @@ eval 'cf_found_library=$cf_cv_have_lib_'$cf_nculib_root if test $cf_found_library = no ; then - { { echo "$as_me:11632: error: Cannot link $cf_nculib_root library" >&5 + { { echo "$as_me:11668: error: Cannot link $cf_nculib_root library" >&5 echo "$as_me: error: Cannot link $cf_nculib_root library" >&2;} { (exit 1); exit 1; }; } fi @@ -11637,7 +11673,7 @@ fi if test -n "$cf_ncurses_LIBS" ; then - echo "$as_me:11640: checking if we can link $cf_nculib_root without $cf_ncurses_LIBS" >&5 + echo "$as_me:11676: checking if we can link $cf_nculib_root without $cf_ncurses_LIBS" >&5 echo $ECHO_N "checking if we can link $cf_nculib_root without $cf_ncurses_LIBS... $ECHO_C" >&6 cf_ncurses_SAVE="$LIBS" for p in $cf_ncurses_LIBS ; do @@ -11647,7 +11683,7 @@ fi done cat >conftest.$ac_ext <<_ACEOF -#line 11650 "configure" +#line 11686 "configure" #include "confdefs.h" #include <${cf_cv_ncurses_header-curses.h}> int @@ -11659,23 +11695,23 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:11662: \"$ac_link\"") >&5 +if { (eval echo "$as_me:11698: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:11665: \$? = $ac_status" >&5 + echo "$as_me:11701: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:11668: \"$ac_try\"") >&5 + { (eval echo "$as_me:11704: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:11671: \$? = $ac_status" >&5 + echo "$as_me:11707: \$? = $ac_status" >&5 (exit $ac_status); }; }; then - echo "$as_me:11673: result: yes" >&5 + echo "$as_me:11709: result: yes" >&5 echo "${ECHO_T}yes" >&6 else echo "$as_me: failed program was:" >&5 cat conftest.$ac_ext >&5 -echo "$as_me:11678: result: no" >&5 +echo "$as_me:11714: result: no" >&5 echo "${ECHO_T}no" >&6 LIBS="$cf_ncurses_SAVE" fi @@ -11702,7 +11738,7 @@ test "$cf_cv_curses_dir" != "no" && \ CPPFLAGS="-I$cf_cv_curses_dir/include -I$cf_cv_curses_dir/include/$cf_ncuhdr_root $CPPFLAGS" -echo "$as_me:11705: checking for $cf_ncuhdr_root header in include-path" >&5 +echo "$as_me:11741: checking for $cf_ncuhdr_root header in include-path" >&5 echo $ECHO_N "checking for $cf_ncuhdr_root header in include-path... $ECHO_C" >&6 if test "${cf_cv_ncurses_h+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -11714,7 +11750,7 @@ do cat >conftest.$ac_ext <<_ACEOF -#line 11717 "configure" +#line 11753 "configure" #include "confdefs.h" #define _XOPEN_SOURCE_EXTENDED @@ -11746,16 +11782,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:11749: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:11785: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:11752: \$? = $ac_status" >&5 + echo "$as_me:11788: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:11755: \"$ac_try\"") >&5 + { (eval echo "$as_me:11791: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:11758: \$? = $ac_status" >&5 + echo "$as_me:11794: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_ncurses_h=$cf_header @@ -11770,13 +11806,13 @@ done fi -echo "$as_me:11773: result: $cf_cv_ncurses_h" >&5 +echo "$as_me:11809: result: $cf_cv_ncurses_h" >&5 echo "${ECHO_T}$cf_cv_ncurses_h" >&6 if test "$cf_cv_ncurses_h" != no ; then cf_cv_ncurses_header=$cf_cv_ncurses_h else -echo "$as_me:11779: checking for $cf_ncuhdr_root include-path" >&5 +echo "$as_me:11815: checking for $cf_ncuhdr_root include-path" >&5 echo $ECHO_N "checking for $cf_ncuhdr_root include-path... $ECHO_C" >&6 if test "${cf_cv_ncurses_h2+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -11871,7 +11907,7 @@ cf_save_CPPFLAGS="$CPPFLAGS" CPPFLAGS="$CPPFLAGS -I$cf_add_incdir" cat >conftest.$ac_ext <<_ACEOF -#line 11874 "configure" +#line 11910 "configure" #include "confdefs.h" #include <stdio.h> int @@ -11883,16 +11919,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:11886: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:11922: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:11889: \$? = $ac_status" >&5 + echo "$as_me:11925: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:11892: \"$ac_try\"") >&5 + { (eval echo "$as_me:11928: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:11895: \$? = $ac_status" >&5 + echo "$as_me:11931: \$? = $ac_status" >&5 (exit $ac_status); }; }; then : else @@ -11919,7 +11955,7 @@ do cat >conftest.$ac_ext <<_ACEOF -#line 11922 "configure" +#line 11958 "configure" #include "confdefs.h" #define _XOPEN_SOURCE_EXTENDED @@ -11951,16 +11987,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:11954: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:11990: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:11957: \$? = $ac_status" >&5 + echo "$as_me:11993: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:11960: \"$ac_try\"") >&5 + { (eval echo "$as_me:11996: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:11963: \$? = $ac_status" >&5 + echo "$as_me:11999: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_ncurses_h2=$cf_header @@ -11981,12 +12017,12 @@ CPPFLAGS="$cf_save2_CPPFLAGS" test "$cf_cv_ncurses_h2" != no && break done - test "$cf_cv_ncurses_h2" = no && { { echo "$as_me:11984: error: not found" >&5 + test "$cf_cv_ncurses_h2" = no && { { echo "$as_me:12020: error: not found" >&5 echo "$as_me: error: not found" >&2;} { (exit 1); exit 1; }; } fi -echo "$as_me:11989: result: $cf_cv_ncurses_h2" >&5 +echo "$as_me:12025: result: $cf_cv_ncurses_h2" >&5 echo "${ECHO_T}$cf_cv_ncurses_h2" >&6 cf_1st_incdir=`echo $cf_cv_ncurses_h2 | sed -e 's%/[^/]*$%%'` @@ -12008,7 +12044,7 @@ cf_save_CPPFLAGS="$CPPFLAGS" CPPFLAGS="$CPPFLAGS -I$cf_add_incdir" cat >conftest.$ac_ext <<_ACEOF -#line 12011 "configure" +#line 12047 "configure" #include "confdefs.h" #include <stdio.h> int @@ -12020,16 +12056,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:12023: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:12059: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:12026: \$? = $ac_status" >&5 + echo "$as_me:12062: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:12029: \"$ac_try\"") >&5 + { (eval echo "$as_me:12065: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:12032: \$? = $ac_status" >&5 + echo "$as_me:12068: \$? = $ac_status" >&5 (exit $ac_status); }; }; then : else @@ -12080,7 +12116,7 @@ ;; esac -echo "$as_me:12083: checking for ncurses version" >&5 +echo "$as_me:12119: checking for ncurses version" >&5 echo $ECHO_N "checking for ncurses version... $ECHO_C" >&6 if test "${cf_cv_ncurses_version+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -12106,10 +12142,10 @@ #endif EOF cf_try="$ac_cpp conftest.$ac_ext 2>&5 | grep '^Autoconf ' >conftest.out" - { (eval echo "$as_me:12109: \"$cf_try\"") >&5 + { (eval echo "$as_me:12145: \"$cf_try\"") >&5 (eval $cf_try) 2>&5 ac_status=$? - echo "$as_me:12112: \$? = $ac_status" >&5 + echo "$as_me:12148: \$? = $ac_status" >&5 (exit $ac_status); } if test -f conftest.out ; then cf_out=`cat conftest.out | sed -e 's%^Autoconf %%' -e 's%^[^"]*"%%' -e 's%".*%%'` @@ -12119,7 +12155,7 @@ else cat >conftest.$ac_ext <<_ACEOF -#line 12122 "configure" +#line 12158 "configure" #include "confdefs.h" #include <${cf_cv_ncurses_header-curses.h}> @@ -12144,15 +12180,15 @@ } _ACEOF rm -f conftest$ac_exeext -if { (eval echo "$as_me:12147: \"$ac_link\"") >&5 +if { (eval echo "$as_me:12183: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:12150: \$? = $ac_status" >&5 + echo "$as_me:12186: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='./conftest$ac_exeext' - { (eval echo "$as_me:12152: \"$ac_try\"") >&5 + { (eval echo "$as_me:12188: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:12155: \$? = $ac_status" >&5 + echo "$as_me:12191: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_ncurses_version=`cat $cf_tempfile` @@ -12166,7 +12202,7 @@ rm -f $cf_tempfile fi -echo "$as_me:12169: result: $cf_cv_ncurses_version" >&5 +echo "$as_me:12205: result: $cf_cv_ncurses_version" >&5 echo "${ECHO_T}$cf_cv_ncurses_version" >&6 test "$cf_cv_ncurses_version" = no || cat >>confdefs.h <<\EOF #define NCURSES 1 @@ -12178,7 +12214,7 @@ # to link gpm. cf_ncurses_LIBS="" cf_ncurses_SAVE="$LIBS" -echo "$as_me:12181: checking for Gpm_Open in -lgpm" >&5 +echo "$as_me:12217: checking for Gpm_Open in -lgpm" >&5 echo $ECHO_N "checking for Gpm_Open in -lgpm... $ECHO_C" >&6 if test "${ac_cv_lib_gpm_Gpm_Open+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -12186,7 +12222,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lgpm $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 12189 "configure" +#line 12225 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -12205,16 +12241,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:12208: \"$ac_link\"") >&5 +if { (eval echo "$as_me:12244: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:12211: \$? = $ac_status" >&5 + echo "$as_me:12247: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:12214: \"$ac_try\"") >&5 + { (eval echo "$as_me:12250: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:12217: \$? = $ac_status" >&5 + echo "$as_me:12253: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_gpm_Gpm_Open=yes else @@ -12225,10 +12261,10 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:12228: result: $ac_cv_lib_gpm_Gpm_Open" >&5 +echo "$as_me:12264: result: $ac_cv_lib_gpm_Gpm_Open" >&5 echo "${ECHO_T}$ac_cv_lib_gpm_Gpm_Open" >&6 if test $ac_cv_lib_gpm_Gpm_Open = yes; then - echo "$as_me:12231: checking for initscr in -lgpm" >&5 + echo "$as_me:12267: checking for initscr in -lgpm" >&5 echo $ECHO_N "checking for initscr in -lgpm... $ECHO_C" >&6 if test "${ac_cv_lib_gpm_initscr+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -12236,7 +12272,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lgpm $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 12239 "configure" +#line 12275 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -12255,16 +12291,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:12258: \"$ac_link\"") >&5 +if { (eval echo "$as_me:12294: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:12261: \$? = $ac_status" >&5 + echo "$as_me:12297: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:12264: \"$ac_try\"") >&5 + { (eval echo "$as_me:12300: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:12267: \$? = $ac_status" >&5 + echo "$as_me:12303: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_gpm_initscr=yes else @@ -12275,7 +12311,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:12278: result: $ac_cv_lib_gpm_initscr" >&5 +echo "$as_me:12314: result: $ac_cv_lib_gpm_initscr" >&5 echo "${ECHO_T}$ac_cv_lib_gpm_initscr" >&6 if test $ac_cv_lib_gpm_initscr = yes; then LIBS="$cf_ncurses_SAVE" @@ -12290,7 +12326,7 @@ # This is only necessary if you are linking against an obsolete # version of ncurses (but it should do no harm, since it's static). if test "$cf_nculib_root" = ncurses ; then - echo "$as_me:12293: checking for tgoto in -lmytinfo" >&5 + echo "$as_me:12329: checking for tgoto in -lmytinfo" >&5 echo $ECHO_N "checking for tgoto in -lmytinfo... $ECHO_C" >&6 if test "${ac_cv_lib_mytinfo_tgoto+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -12298,7 +12334,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lmytinfo $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 12301 "configure" +#line 12337 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -12317,16 +12353,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:12320: \"$ac_link\"") >&5 +if { (eval echo "$as_me:12356: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:12323: \$? = $ac_status" >&5 + echo "$as_me:12359: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:12326: \"$ac_try\"") >&5 + { (eval echo "$as_me:12362: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:12329: \$? = $ac_status" >&5 + echo "$as_me:12365: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_mytinfo_tgoto=yes else @@ -12337,7 +12373,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:12340: result: $ac_cv_lib_mytinfo_tgoto" >&5 +echo "$as_me:12376: result: $ac_cv_lib_mytinfo_tgoto" >&5 echo "${ECHO_T}$ac_cv_lib_mytinfo_tgoto" >&6 if test $ac_cv_lib_mytinfo_tgoto = yes; then cf_ncurses_LIBS="-lmytinfo $cf_ncurses_LIBS" @@ -12356,13 +12392,13 @@ eval 'cf_cv_have_lib_'$cf_nculib_root'=no' cf_libdir="" - echo "$as_me:12359: checking for initscr" >&5 + echo "$as_me:12395: checking for initscr" >&5 echo $ECHO_N "checking for initscr... $ECHO_C" >&6 if test "${ac_cv_func_initscr+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 12365 "configure" +#line 12401 "configure" #include "confdefs.h" /* System header to define __stub macros and hopefully few prototypes, which can conflict with char initscr (); below. */ @@ -12393,16 +12429,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:12396: \"$ac_link\"") >&5 +if { (eval echo "$as_me:12432: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:12399: \$? = $ac_status" >&5 + echo "$as_me:12435: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:12402: \"$ac_try\"") >&5 + { (eval echo "$as_me:12438: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:12405: \$? = $ac_status" >&5 + echo "$as_me:12441: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_func_initscr=yes else @@ -12412,18 +12448,18 @@ fi rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext fi -echo "$as_me:12415: result: $ac_cv_func_initscr" >&5 +echo "$as_me:12451: result: $ac_cv_func_initscr" >&5 echo "${ECHO_T}$ac_cv_func_initscr" >&6 if test $ac_cv_func_initscr = yes; then eval 'cf_cv_have_lib_'$cf_nculib_root'=yes' else cf_save_LIBS="$LIBS" - echo "$as_me:12422: checking for initscr in -l$cf_nculib_root" >&5 + echo "$as_me:12458: checking for initscr in -l$cf_nculib_root" >&5 echo $ECHO_N "checking for initscr in -l$cf_nculib_root... $ECHO_C" >&6 LIBS="-l$cf_nculib_root $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 12426 "configure" +#line 12462 "configure" #include "confdefs.h" #include <${cf_cv_ncurses_header-curses.h}> int @@ -12435,25 +12471,25 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:12438: \"$ac_link\"") >&5 +if { (eval echo "$as_me:12474: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:12441: \$? = $ac_status" >&5 + echo "$as_me:12477: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:12444: \"$ac_try\"") >&5 + { (eval echo "$as_me:12480: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:12447: \$? = $ac_status" >&5 + echo "$as_me:12483: \$? = $ac_status" >&5 (exit $ac_status); }; }; then - echo "$as_me:12449: result: yes" >&5 + echo "$as_me:12485: result: yes" >&5 echo "${ECHO_T}yes" >&6 eval 'cf_cv_have_lib_'$cf_nculib_root'=yes' else echo "$as_me: failed program was:" >&5 cat conftest.$ac_ext >&5 -echo "$as_me:12456: result: no" >&5 +echo "$as_me:12492: result: no" >&5 echo "${ECHO_T}no" >&6 cf_search="" @@ -12513,11 +12549,11 @@ for cf_libdir in $cf_search do - echo "$as_me:12516: checking for -l$cf_nculib_root in $cf_libdir" >&5 + echo "$as_me:12552: checking for -l$cf_nculib_root in $cf_libdir" >&5 echo $ECHO_N "checking for -l$cf_nculib_root in $cf_libdir... $ECHO_C" >&6 LIBS="-L$cf_libdir -l$cf_nculib_root $cf_save_LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 12520 "configure" +#line 12556 "configure" #include "confdefs.h" #include <${cf_cv_ncurses_header-curses.h}> int @@ -12529,25 +12565,25 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:12532: \"$ac_link\"") >&5 +if { (eval echo "$as_me:12568: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:12535: \$? = $ac_status" >&5 + echo "$as_me:12571: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:12538: \"$ac_try\"") >&5 + { (eval echo "$as_me:12574: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:12541: \$? = $ac_status" >&5 + echo "$as_me:12577: \$? = $ac_status" >&5 (exit $ac_status); }; }; then - echo "$as_me:12543: result: yes" >&5 + echo "$as_me:12579: result: yes" >&5 echo "${ECHO_T}yes" >&6 eval 'cf_cv_have_lib_'$cf_nculib_root'=yes' break else echo "$as_me: failed program was:" >&5 cat conftest.$ac_ext >&5 -echo "$as_me:12550: result: no" >&5 +echo "$as_me:12586: result: no" >&5 echo "${ECHO_T}no" >&6 LIBS="$cf_save_LIBS" fi @@ -12562,7 +12598,7 @@ eval 'cf_found_library=$cf_cv_have_lib_'$cf_nculib_root if test $cf_found_library = no ; then - { { echo "$as_me:12565: error: Cannot link $cf_nculib_root library" >&5 + { { echo "$as_me:12601: error: Cannot link $cf_nculib_root library" >&5 echo "$as_me: error: Cannot link $cf_nculib_root library" >&2;} { (exit 1); exit 1; }; } fi @@ -12570,7 +12606,7 @@ fi if test -n "$cf_ncurses_LIBS" ; then - echo "$as_me:12573: checking if we can link $cf_nculib_root without $cf_ncurses_LIBS" >&5 + echo "$as_me:12609: checking if we can link $cf_nculib_root without $cf_ncurses_LIBS" >&5 echo $ECHO_N "checking if we can link $cf_nculib_root without $cf_ncurses_LIBS... $ECHO_C" >&6 cf_ncurses_SAVE="$LIBS" for p in $cf_ncurses_LIBS ; do @@ -12580,7 +12616,7 @@ fi done cat >conftest.$ac_ext <<_ACEOF -#line 12583 "configure" +#line 12619 "configure" #include "confdefs.h" #include <${cf_cv_ncurses_header-curses.h}> int @@ -12592,23 +12628,23 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:12595: \"$ac_link\"") >&5 +if { (eval echo "$as_me:12631: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:12598: \$? = $ac_status" >&5 + echo "$as_me:12634: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:12601: \"$ac_try\"") >&5 + { (eval echo "$as_me:12637: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:12604: \$? = $ac_status" >&5 + echo "$as_me:12640: \$? = $ac_status" >&5 (exit $ac_status); }; }; then - echo "$as_me:12606: result: yes" >&5 + echo "$as_me:12642: result: yes" >&5 echo "${ECHO_T}yes" >&6 else echo "$as_me: failed program was:" >&5 cat conftest.$ac_ext >&5 -echo "$as_me:12611: result: no" >&5 +echo "$as_me:12647: result: no" >&5 echo "${ECHO_T}no" >&6 LIBS="$cf_ncurses_SAVE" fi @@ -12629,7 +12665,7 @@ #define DISP_TERMCAP 1 EOF - echo "$as_me:12632: checking if you want to use ncurses" >&5 + echo "$as_me:12668: checking if you want to use ncurses" >&5 echo $ECHO_N "checking if you want to use ncurses... $ECHO_C" >&6 # Check whether --with-ncurses or --without-ncurses was given. @@ -12639,7 +12675,7 @@ else withval=no fi; - echo "$as_me:12642: result: $withval" >&5 + echo "$as_me:12678: result: $withval" >&5 echo "${ECHO_T}$withval" >&6 if test "${cf_cv_termlib+set}" = set; then @@ -12648,7 +12684,7 @@ cf_cv_termlib=none cat >conftest.$ac_ext <<_ACEOF -#line 12651 "configure" +#line 12687 "configure" #include "confdefs.h" int @@ -12660,19 +12696,19 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:12663: \"$ac_link\"") >&5 +if { (eval echo "$as_me:12699: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:12666: \$? = $ac_status" >&5 + echo "$as_me:12702: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:12669: \"$ac_try\"") >&5 + { (eval echo "$as_me:12705: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:12672: \$? = $ac_status" >&5 + echo "$as_me:12708: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cat >conftest.$ac_ext <<_ACEOF -#line 12675 "configure" +#line 12711 "configure" #include "confdefs.h" int @@ -12684,16 +12720,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:12687: \"$ac_link\"") >&5 +if { (eval echo "$as_me:12723: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:12690: \$? = $ac_status" >&5 + echo "$as_me:12726: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:12693: \"$ac_try\"") >&5 + { (eval echo "$as_me:12729: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:12696: \$? = $ac_status" >&5 + echo "$as_me:12732: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_termlib=terminfo else @@ -12717,7 +12753,7 @@ test "$cf_cv_curses_dir" != "no" && \ CPPFLAGS="-I$cf_cv_curses_dir/include -I$cf_cv_curses_dir/include/$cf_ncuhdr_root $CPPFLAGS" -echo "$as_me:12720: checking for $cf_ncuhdr_root header in include-path" >&5 +echo "$as_me:12756: checking for $cf_ncuhdr_root header in include-path" >&5 echo $ECHO_N "checking for $cf_ncuhdr_root header in include-path... $ECHO_C" >&6 if test "${cf_cv_ncurses_h+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -12729,7 +12765,7 @@ do cat >conftest.$ac_ext <<_ACEOF -#line 12732 "configure" +#line 12768 "configure" #include "confdefs.h" #include <$cf_header> @@ -12753,16 +12789,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:12756: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:12792: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:12759: \$? = $ac_status" >&5 + echo "$as_me:12795: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:12762: \"$ac_try\"") >&5 + { (eval echo "$as_me:12798: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:12765: \$? = $ac_status" >&5 + echo "$as_me:12801: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_ncurses_h=$cf_header @@ -12777,13 +12813,13 @@ done fi -echo "$as_me:12780: result: $cf_cv_ncurses_h" >&5 +echo "$as_me:12816: result: $cf_cv_ncurses_h" >&5 echo "${ECHO_T}$cf_cv_ncurses_h" >&6 if test "$cf_cv_ncurses_h" != no ; then cf_cv_ncurses_header=$cf_cv_ncurses_h else -echo "$as_me:12786: checking for $cf_ncuhdr_root include-path" >&5 +echo "$as_me:12822: checking for $cf_ncuhdr_root include-path" >&5 echo $ECHO_N "checking for $cf_ncuhdr_root include-path... $ECHO_C" >&6 if test "${cf_cv_ncurses_h2+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -12878,7 +12914,7 @@ cf_save_CPPFLAGS="$CPPFLAGS" CPPFLAGS="$CPPFLAGS -I$cf_add_incdir" cat >conftest.$ac_ext <<_ACEOF -#line 12881 "configure" +#line 12917 "configure" #include "confdefs.h" #include <stdio.h> int @@ -12890,16 +12926,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:12893: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:12929: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:12896: \$? = $ac_status" >&5 + echo "$as_me:12932: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:12899: \"$ac_try\"") >&5 + { (eval echo "$as_me:12935: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:12902: \$? = $ac_status" >&5 + echo "$as_me:12938: \$? = $ac_status" >&5 (exit $ac_status); }; }; then : else @@ -12926,7 +12962,7 @@ do cat >conftest.$ac_ext <<_ACEOF -#line 12929 "configure" +#line 12965 "configure" #include "confdefs.h" #include <$cf_header> @@ -12950,16 +12986,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:12953: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:12989: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:12956: \$? = $ac_status" >&5 + echo "$as_me:12992: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:12959: \"$ac_try\"") >&5 + { (eval echo "$as_me:12995: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:12962: \$? = $ac_status" >&5 + echo "$as_me:12998: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_ncurses_h2=$cf_header @@ -12980,12 +13016,12 @@ CPPFLAGS="$cf_save2_CPPFLAGS" test "$cf_cv_ncurses_h2" != no && break done - test "$cf_cv_ncurses_h2" = no && { { echo "$as_me:12983: error: not found" >&5 + test "$cf_cv_ncurses_h2" = no && { { echo "$as_me:13019: error: not found" >&5 echo "$as_me: error: not found" >&2;} { (exit 1); exit 1; }; } fi -echo "$as_me:12988: result: $cf_cv_ncurses_h2" >&5 +echo "$as_me:13024: result: $cf_cv_ncurses_h2" >&5 echo "${ECHO_T}$cf_cv_ncurses_h2" >&6 cf_1st_incdir=`echo $cf_cv_ncurses_h2 | sed -e 's%/[^/]*$%%'` @@ -13007,7 +13043,7 @@ cf_save_CPPFLAGS="$CPPFLAGS" CPPFLAGS="$CPPFLAGS -I$cf_add_incdir" cat >conftest.$ac_ext <<_ACEOF -#line 13010 "configure" +#line 13046 "configure" #include "confdefs.h" #include <stdio.h> int @@ -13019,16 +13055,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:13022: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:13058: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:13025: \$? = $ac_status" >&5 + echo "$as_me:13061: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:13028: \"$ac_try\"") >&5 + { (eval echo "$as_me:13064: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:13031: \$? = $ac_status" >&5 + echo "$as_me:13067: \$? = $ac_status" >&5 (exit $ac_status); }; }; then : else @@ -13079,7 +13115,7 @@ ;; esac -echo "$as_me:13082: checking for ncurses version" >&5 +echo "$as_me:13118: checking for ncurses version" >&5 echo $ECHO_N "checking for ncurses version... $ECHO_C" >&6 if test "${cf_cv_ncurses_version+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -13105,10 +13141,10 @@ #endif EOF cf_try="$ac_cpp conftest.$ac_ext 2>&5 | grep '^Autoconf ' >conftest.out" - { (eval echo "$as_me:13108: \"$cf_try\"") >&5 + { (eval echo "$as_me:13144: \"$cf_try\"") >&5 (eval $cf_try) 2>&5 ac_status=$? - echo "$as_me:13111: \$? = $ac_status" >&5 + echo "$as_me:13147: \$? = $ac_status" >&5 (exit $ac_status); } if test -f conftest.out ; then cf_out=`cat conftest.out | sed -e 's%^Autoconf %%' -e 's%^[^"]*"%%' -e 's%".*%%'` @@ -13118,7 +13154,7 @@ else cat >conftest.$ac_ext <<_ACEOF -#line 13121 "configure" +#line 13157 "configure" #include "confdefs.h" #include <${cf_cv_ncurses_header-curses.h}> @@ -13143,15 +13179,15 @@ } _ACEOF rm -f conftest$ac_exeext -if { (eval echo "$as_me:13146: \"$ac_link\"") >&5 +if { (eval echo "$as_me:13182: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:13149: \$? = $ac_status" >&5 + echo "$as_me:13185: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='./conftest$ac_exeext' - { (eval echo "$as_me:13151: \"$ac_try\"") >&5 + { (eval echo "$as_me:13187: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:13154: \$? = $ac_status" >&5 + echo "$as_me:13190: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_ncurses_version=`cat $cf_tempfile` @@ -13165,7 +13201,7 @@ rm -f $cf_tempfile fi -echo "$as_me:13168: result: $cf_cv_ncurses_version" >&5 +echo "$as_me:13204: result: $cf_cv_ncurses_version" >&5 echo "${ECHO_T}$cf_cv_ncurses_version" >&6 test "$cf_cv_ncurses_version" = no || cat >>confdefs.h <<\EOF #define NCURSES 1 @@ -13177,7 +13213,7 @@ # to link gpm. cf_ncurses_LIBS="" cf_ncurses_SAVE="$LIBS" -echo "$as_me:13180: checking for Gpm_Open in -lgpm" >&5 +echo "$as_me:13216: checking for Gpm_Open in -lgpm" >&5 echo $ECHO_N "checking for Gpm_Open in -lgpm... $ECHO_C" >&6 if test "${ac_cv_lib_gpm_Gpm_Open+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -13185,7 +13221,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lgpm $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 13188 "configure" +#line 13224 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -13204,16 +13240,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:13207: \"$ac_link\"") >&5 +if { (eval echo "$as_me:13243: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:13210: \$? = $ac_status" >&5 + echo "$as_me:13246: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:13213: \"$ac_try\"") >&5 + { (eval echo "$as_me:13249: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:13216: \$? = $ac_status" >&5 + echo "$as_me:13252: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_gpm_Gpm_Open=yes else @@ -13224,10 +13260,10 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:13227: result: $ac_cv_lib_gpm_Gpm_Open" >&5 +echo "$as_me:13263: result: $ac_cv_lib_gpm_Gpm_Open" >&5 echo "${ECHO_T}$ac_cv_lib_gpm_Gpm_Open" >&6 if test $ac_cv_lib_gpm_Gpm_Open = yes; then - echo "$as_me:13230: checking for initscr in -lgpm" >&5 + echo "$as_me:13266: checking for initscr in -lgpm" >&5 echo $ECHO_N "checking for initscr in -lgpm... $ECHO_C" >&6 if test "${ac_cv_lib_gpm_initscr+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -13235,7 +13271,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lgpm $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 13238 "configure" +#line 13274 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -13254,16 +13290,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:13257: \"$ac_link\"") >&5 +if { (eval echo "$as_me:13293: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:13260: \$? = $ac_status" >&5 + echo "$as_me:13296: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:13263: \"$ac_try\"") >&5 + { (eval echo "$as_me:13299: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:13266: \$? = $ac_status" >&5 + echo "$as_me:13302: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_gpm_initscr=yes else @@ -13274,7 +13310,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:13277: result: $ac_cv_lib_gpm_initscr" >&5 +echo "$as_me:13313: result: $ac_cv_lib_gpm_initscr" >&5 echo "${ECHO_T}$ac_cv_lib_gpm_initscr" >&6 if test $ac_cv_lib_gpm_initscr = yes; then LIBS="$cf_ncurses_SAVE" @@ -13289,7 +13325,7 @@ # This is only necessary if you are linking against an obsolete # version of ncurses (but it should do no harm, since it's static). if test "$cf_nculib_root" = ncurses ; then - echo "$as_me:13292: checking for tgoto in -lmytinfo" >&5 + echo "$as_me:13328: checking for tgoto in -lmytinfo" >&5 echo $ECHO_N "checking for tgoto in -lmytinfo... $ECHO_C" >&6 if test "${ac_cv_lib_mytinfo_tgoto+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -13297,7 +13333,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lmytinfo $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 13300 "configure" +#line 13336 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -13316,16 +13352,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:13319: \"$ac_link\"") >&5 +if { (eval echo "$as_me:13355: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:13322: \$? = $ac_status" >&5 + echo "$as_me:13358: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:13325: \"$ac_try\"") >&5 + { (eval echo "$as_me:13361: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:13328: \$? = $ac_status" >&5 + echo "$as_me:13364: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_mytinfo_tgoto=yes else @@ -13336,7 +13372,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:13339: result: $ac_cv_lib_mytinfo_tgoto" >&5 +echo "$as_me:13375: result: $ac_cv_lib_mytinfo_tgoto" >&5 echo "${ECHO_T}$ac_cv_lib_mytinfo_tgoto" >&6 if test $ac_cv_lib_mytinfo_tgoto = yes; then cf_ncurses_LIBS="-lmytinfo $cf_ncurses_LIBS" @@ -13355,13 +13391,13 @@ eval 'cf_cv_have_lib_'$cf_nculib_root'=no' cf_libdir="" - echo "$as_me:13358: checking for initscr" >&5 + echo "$as_me:13394: checking for initscr" >&5 echo $ECHO_N "checking for initscr... $ECHO_C" >&6 if test "${ac_cv_func_initscr+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 13364 "configure" +#line 13400 "configure" #include "confdefs.h" /* System header to define __stub macros and hopefully few prototypes, which can conflict with char initscr (); below. */ @@ -13392,16 +13428,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:13395: \"$ac_link\"") >&5 +if { (eval echo "$as_me:13431: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:13398: \$? = $ac_status" >&5 + echo "$as_me:13434: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:13401: \"$ac_try\"") >&5 + { (eval echo "$as_me:13437: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:13404: \$? = $ac_status" >&5 + echo "$as_me:13440: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_func_initscr=yes else @@ -13411,18 +13447,18 @@ fi rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext fi -echo "$as_me:13414: result: $ac_cv_func_initscr" >&5 +echo "$as_me:13450: result: $ac_cv_func_initscr" >&5 echo "${ECHO_T}$ac_cv_func_initscr" >&6 if test $ac_cv_func_initscr = yes; then eval 'cf_cv_have_lib_'$cf_nculib_root'=yes' else cf_save_LIBS="$LIBS" - echo "$as_me:13421: checking for initscr in -l$cf_nculib_root" >&5 + echo "$as_me:13457: checking for initscr in -l$cf_nculib_root" >&5 echo $ECHO_N "checking for initscr in -l$cf_nculib_root... $ECHO_C" >&6 LIBS="-l$cf_nculib_root $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 13425 "configure" +#line 13461 "configure" #include "confdefs.h" #include <${cf_cv_ncurses_header-curses.h}> int @@ -13434,25 +13470,25 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:13437: \"$ac_link\"") >&5 +if { (eval echo "$as_me:13473: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:13440: \$? = $ac_status" >&5 + echo "$as_me:13476: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:13443: \"$ac_try\"") >&5 + { (eval echo "$as_me:13479: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:13446: \$? = $ac_status" >&5 + echo "$as_me:13482: \$? = $ac_status" >&5 (exit $ac_status); }; }; then - echo "$as_me:13448: result: yes" >&5 + echo "$as_me:13484: result: yes" >&5 echo "${ECHO_T}yes" >&6 eval 'cf_cv_have_lib_'$cf_nculib_root'=yes' else echo "$as_me: failed program was:" >&5 cat conftest.$ac_ext >&5 -echo "$as_me:13455: result: no" >&5 +echo "$as_me:13491: result: no" >&5 echo "${ECHO_T}no" >&6 cf_search="" @@ -13512,11 +13548,11 @@ for cf_libdir in $cf_search do - echo "$as_me:13515: checking for -l$cf_nculib_root in $cf_libdir" >&5 + echo "$as_me:13551: checking for -l$cf_nculib_root in $cf_libdir" >&5 echo $ECHO_N "checking for -l$cf_nculib_root in $cf_libdir... $ECHO_C" >&6 LIBS="-L$cf_libdir -l$cf_nculib_root $cf_save_LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 13519 "configure" +#line 13555 "configure" #include "confdefs.h" #include <${cf_cv_ncurses_header-curses.h}> int @@ -13528,25 +13564,25 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:13531: \"$ac_link\"") >&5 +if { (eval echo "$as_me:13567: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:13534: \$? = $ac_status" >&5 + echo "$as_me:13570: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:13537: \"$ac_try\"") >&5 + { (eval echo "$as_me:13573: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:13540: \$? = $ac_status" >&5 + echo "$as_me:13576: \$? = $ac_status" >&5 (exit $ac_status); }; }; then - echo "$as_me:13542: result: yes" >&5 + echo "$as_me:13578: result: yes" >&5 echo "${ECHO_T}yes" >&6 eval 'cf_cv_have_lib_'$cf_nculib_root'=yes' break else echo "$as_me: failed program was:" >&5 cat conftest.$ac_ext >&5 -echo "$as_me:13549: result: no" >&5 +echo "$as_me:13585: result: no" >&5 echo "${ECHO_T}no" >&6 LIBS="$cf_save_LIBS" fi @@ -13561,7 +13597,7 @@ eval 'cf_found_library=$cf_cv_have_lib_'$cf_nculib_root if test $cf_found_library = no ; then - { { echo "$as_me:13564: error: Cannot link $cf_nculib_root library" >&5 + { { echo "$as_me:13600: error: Cannot link $cf_nculib_root library" >&5 echo "$as_me: error: Cannot link $cf_nculib_root library" >&2;} { (exit 1); exit 1; }; } fi @@ -13569,7 +13605,7 @@ fi if test -n "$cf_ncurses_LIBS" ; then - echo "$as_me:13572: checking if we can link $cf_nculib_root without $cf_ncurses_LIBS" >&5 + echo "$as_me:13608: checking if we can link $cf_nculib_root without $cf_ncurses_LIBS" >&5 echo $ECHO_N "checking if we can link $cf_nculib_root without $cf_ncurses_LIBS... $ECHO_C" >&6 cf_ncurses_SAVE="$LIBS" for p in $cf_ncurses_LIBS ; do @@ -13579,7 +13615,7 @@ fi done cat >conftest.$ac_ext <<_ACEOF -#line 13582 "configure" +#line 13618 "configure" #include "confdefs.h" #include <${cf_cv_ncurses_header-curses.h}> int @@ -13591,23 +13627,23 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:13594: \"$ac_link\"") >&5 +if { (eval echo "$as_me:13630: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:13597: \$? = $ac_status" >&5 + echo "$as_me:13633: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:13600: \"$ac_try\"") >&5 + { (eval echo "$as_me:13636: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:13603: \$? = $ac_status" >&5 + echo "$as_me:13639: \$? = $ac_status" >&5 (exit $ac_status); }; }; then - echo "$as_me:13605: result: yes" >&5 + echo "$as_me:13641: result: yes" >&5 echo "${ECHO_T}yes" >&6 else echo "$as_me: failed program was:" >&5 cat conftest.$ac_ext >&5 -echo "$as_me:13610: result: no" >&5 +echo "$as_me:13646: result: no" >&5 echo "${ECHO_T}no" >&6 LIBS="$cf_ncurses_SAVE" fi @@ -13633,10 +13669,10 @@ LIBS="-l$cf_lib $cf_save_LIBS" for cf_func in tigetstr tgetstr do - echo "$as_me:13636: checking for $cf_func in -l$cf_lib" >&5 + echo "$as_me:13672: checking for $cf_func in -l$cf_lib" >&5 echo $ECHO_N "checking for $cf_func in -l$cf_lib... $ECHO_C" >&6 cat >conftest.$ac_ext <<_ACEOF -#line 13639 "configure" +#line 13675 "configure" #include "confdefs.h" int @@ -13648,16 +13684,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:13651: \"$ac_link\"") >&5 +if { (eval echo "$as_me:13687: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:13654: \$? = $ac_status" >&5 + echo "$as_me:13690: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:13657: \"$ac_try\"") >&5 + { (eval echo "$as_me:13693: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:13660: \$? = $ac_status" >&5 + echo "$as_me:13696: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_result=yes else @@ -13666,7 +13702,7 @@ cf_result=no fi rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext - echo "$as_me:13669: result: $cf_result" >&5 + echo "$as_me:13705: result: $cf_result" >&5 echo "${ECHO_T}$cf_result" >&6 if test "$cf_result" = yes ; then if test "$cf_func" = tigetstr ; then @@ -13683,7 +13719,7 @@ fi if test "$cf_cv_termlib" = none; then # allow curses library for broken AIX system. - echo "$as_me:13686: checking for initscr in -lcurses" >&5 + echo "$as_me:13722: checking for initscr in -lcurses" >&5 echo $ECHO_N "checking for initscr in -lcurses... $ECHO_C" >&6 if test "${ac_cv_lib_curses_initscr+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -13691,7 +13727,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lcurses $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 13694 "configure" +#line 13730 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -13710,16 +13746,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:13713: \"$ac_link\"") >&5 +if { (eval echo "$as_me:13749: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:13716: \$? = $ac_status" >&5 + echo "$as_me:13752: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:13719: \"$ac_try\"") >&5 + { (eval echo "$as_me:13755: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:13722: \$? = $ac_status" >&5 + echo "$as_me:13758: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_curses_initscr=yes else @@ -13730,13 +13766,13 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:13733: result: $ac_cv_lib_curses_initscr" >&5 +echo "$as_me:13769: result: $ac_cv_lib_curses_initscr" >&5 echo "${ECHO_T}$ac_cv_lib_curses_initscr" >&6 if test $ac_cv_lib_curses_initscr = yes; then LIBS="$LIBS -lcurses" cf_cv_termlib=termcap fi - echo "$as_me:13739: checking for tgoto in -ltermcap" >&5 + echo "$as_me:13775: checking for tgoto in -ltermcap" >&5 echo $ECHO_N "checking for tgoto in -ltermcap... $ECHO_C" >&6 if test "${ac_cv_lib_termcap_tgoto+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -13744,7 +13780,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-ltermcap $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 13747 "configure" +#line 13783 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -13763,16 +13799,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:13766: \"$ac_link\"") >&5 +if { (eval echo "$as_me:13802: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:13769: \$? = $ac_status" >&5 + echo "$as_me:13805: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:13772: \"$ac_try\"") >&5 + { (eval echo "$as_me:13808: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:13775: \$? = $ac_status" >&5 + echo "$as_me:13811: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_termcap_tgoto=yes else @@ -13783,7 +13819,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:13786: result: $ac_cv_lib_termcap_tgoto" >&5 +echo "$as_me:13822: result: $ac_cv_lib_termcap_tgoto" >&5 echo "${ECHO_T}$ac_cv_lib_termcap_tgoto" >&6 if test $ac_cv_lib_termcap_tgoto = yes; then LIBS="$LIBS -ltermcap" cf_cv_termlib=termcap @@ -13794,7 +13830,7 @@ fi rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext if test "$cf_cv_termlib" = none; then - { echo "$as_me:13797: WARNING: Cannot find -ltermlib, -lcurses, or -ltermcap" >&5 + { echo "$as_me:13833: WARNING: Cannot find -ltermlib, -lcurses, or -ltermcap" >&5 echo "$as_me: WARNING: Cannot find -ltermlib, -lcurses, or -ltermcap" >&2;} fi @@ -13814,7 +13850,7 @@ cf_cv_termlib=none cat >conftest.$ac_ext <<_ACEOF -#line 13817 "configure" +#line 13853 "configure" #include "confdefs.h" int @@ -13826,19 +13862,19 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:13829: \"$ac_link\"") >&5 +if { (eval echo "$as_me:13865: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:13832: \$? = $ac_status" >&5 + echo "$as_me:13868: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:13835: \"$ac_try\"") >&5 + { (eval echo "$as_me:13871: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:13838: \$? = $ac_status" >&5 + echo "$as_me:13874: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cat >conftest.$ac_ext <<_ACEOF -#line 13841 "configure" +#line 13877 "configure" #include "confdefs.h" int @@ -13850,16 +13886,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:13853: \"$ac_link\"") >&5 +if { (eval echo "$as_me:13889: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:13856: \$? = $ac_status" >&5 + echo "$as_me:13892: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:13859: \"$ac_try\"") >&5 + { (eval echo "$as_me:13895: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:13862: \$? = $ac_status" >&5 + echo "$as_me:13898: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_termlib=terminfo else @@ -13883,10 +13919,10 @@ LIBS="-l$cf_lib $cf_save_LIBS" for cf_func in tigetstr tgetstr do - echo "$as_me:13886: checking for $cf_func in -l$cf_lib" >&5 + echo "$as_me:13922: checking for $cf_func in -l$cf_lib" >&5 echo $ECHO_N "checking for $cf_func in -l$cf_lib... $ECHO_C" >&6 cat >conftest.$ac_ext <<_ACEOF -#line 13889 "configure" +#line 13925 "configure" #include "confdefs.h" int @@ -13898,16 +13934,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:13901: \"$ac_link\"") >&5 +if { (eval echo "$as_me:13937: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:13904: \$? = $ac_status" >&5 + echo "$as_me:13940: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:13907: \"$ac_try\"") >&5 + { (eval echo "$as_me:13943: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:13910: \$? = $ac_status" >&5 + echo "$as_me:13946: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_result=yes else @@ -13916,7 +13952,7 @@ cf_result=no fi rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext - echo "$as_me:13919: result: $cf_result" >&5 + echo "$as_me:13955: result: $cf_result" >&5 echo "${ECHO_T}$cf_result" >&6 if test "$cf_result" = yes ; then if test "$cf_func" = tigetstr ; then @@ -13933,7 +13969,7 @@ fi if test "$cf_cv_termlib" = none; then # allow curses library for broken AIX system. - echo "$as_me:13936: checking for initscr in -lcurses" >&5 + echo "$as_me:13972: checking for initscr in -lcurses" >&5 echo $ECHO_N "checking for initscr in -lcurses... $ECHO_C" >&6 if test "${ac_cv_lib_curses_initscr+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -13941,7 +13977,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lcurses $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 13944 "configure" +#line 13980 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -13960,16 +13996,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:13963: \"$ac_link\"") >&5 +if { (eval echo "$as_me:13999: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:13966: \$? = $ac_status" >&5 + echo "$as_me:14002: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:13969: \"$ac_try\"") >&5 + { (eval echo "$as_me:14005: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:13972: \$? = $ac_status" >&5 + echo "$as_me:14008: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_curses_initscr=yes else @@ -13980,13 +14016,13 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:13983: result: $ac_cv_lib_curses_initscr" >&5 +echo "$as_me:14019: result: $ac_cv_lib_curses_initscr" >&5 echo "${ECHO_T}$ac_cv_lib_curses_initscr" >&6 if test $ac_cv_lib_curses_initscr = yes; then LIBS="$LIBS -lcurses" cf_cv_termlib=termcap fi - echo "$as_me:13989: checking for tgoto in -ltermcap" >&5 + echo "$as_me:14025: checking for tgoto in -ltermcap" >&5 echo $ECHO_N "checking for tgoto in -ltermcap... $ECHO_C" >&6 if test "${ac_cv_lib_termcap_tgoto+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -13994,7 +14030,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-ltermcap $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 13997 "configure" +#line 14033 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -14013,16 +14049,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:14016: \"$ac_link\"") >&5 +if { (eval echo "$as_me:14052: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:14019: \$? = $ac_status" >&5 + echo "$as_me:14055: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:14022: \"$ac_try\"") >&5 + { (eval echo "$as_me:14058: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:14025: \$? = $ac_status" >&5 + echo "$as_me:14061: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_termcap_tgoto=yes else @@ -14033,7 +14069,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:14036: result: $ac_cv_lib_termcap_tgoto" >&5 +echo "$as_me:14072: result: $ac_cv_lib_termcap_tgoto" >&5 echo "${ECHO_T}$ac_cv_lib_termcap_tgoto" >&6 if test $ac_cv_lib_termcap_tgoto = yes; then LIBS="$LIBS -ltermcap" cf_cv_termlib=termcap @@ -14044,7 +14080,7 @@ fi rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext if test "$cf_cv_termlib" = none; then - { echo "$as_me:14047: WARNING: Cannot find -ltermlib, -lcurses, or -ltermcap" >&5 + { echo "$as_me:14083: WARNING: Cannot find -ltermlib, -lcurses, or -ltermcap" >&5 echo "$as_me: WARNING: Cannot find -ltermlib, -lcurses, or -ltermcap" >&2;} fi @@ -14066,7 +14102,7 @@ elif test ".$cf_cv_termlib" = .termcap ; then # BSD 'tputs()' may need 'PC' to be set. cat >conftest.$ac_ext <<_ACEOF -#line 14069 "configure" +#line 14105 "configure" #include "confdefs.h" int @@ -14078,16 +14114,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:14081: \"$ac_link\"") >&5 +if { (eval echo "$as_me:14117: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:14084: \$? = $ac_status" >&5 + echo "$as_me:14120: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:14087: \"$ac_try\"") >&5 + { (eval echo "$as_me:14123: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:14090: \$? = $ac_status" >&5 + echo "$as_me:14126: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cat >>confdefs.h <<\EOF #define HAVE_EXTERN_TCAP_PC 1 @@ -14106,14 +14142,14 @@ LIBS="$LIBS $X_EXTRA_LIBS" -echo "$as_me:14109: checking for /dev/tty" >&5 +echo "$as_me:14145: checking for /dev/tty" >&5 echo $ECHO_N "checking for /dev/tty... $ECHO_C" >&6 if test -c /dev/tty ; then cf_result=yes else cf_result=no fi -echo "$as_me:14116: result: $cf_result" >&5 +echo "$as_me:14152: result: $cf_result" >&5 echo "${ECHO_T}$cf_result" >&6 if test "$cf_result" = yes ; then cf_result=`echo /dev/tty | sed -e s%/%_%g` @@ -14126,7 +14162,7 @@ fi -echo "$as_me:14129: checking for crypt function" >&5 +echo "$as_me:14165: checking for crypt function" >&5 echo $ECHO_N "checking for crypt function... $ECHO_C" >&6 if test "${cf_cv_crypt_func+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -14134,7 +14170,7 @@ cf_cv_crypt_func= cat >conftest.$ac_ext <<_ACEOF -#line 14137 "configure" +#line 14173 "configure" #include "confdefs.h" int @@ -14146,16 +14182,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:14149: \"$ac_link\"") >&5 +if { (eval echo "$as_me:14185: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:14152: \$? = $ac_status" >&5 + echo "$as_me:14188: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:14155: \"$ac_try\"") >&5 + { (eval echo "$as_me:14191: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:14158: \$? = $ac_status" >&5 + echo "$as_me:14194: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_crypt_func=yes @@ -14166,7 +14202,7 @@ cf_save_LIBS="$LIBS" LIBS="-lcrypt $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 14169 "configure" +#line 14205 "configure" #include "confdefs.h" int @@ -14178,16 +14214,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:14181: \"$ac_link\"") >&5 +if { (eval echo "$as_me:14217: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:14184: \$? = $ac_status" >&5 + echo "$as_me:14220: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:14187: \"$ac_try\"") >&5 + { (eval echo "$as_me:14223: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:14190: \$? = $ac_status" >&5 + echo "$as_me:14226: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_crypt_func="-lcrypt" @@ -14204,12 +14240,12 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext fi -echo "$as_me:14207: result: $cf_cv_crypt_func" >&5 +echo "$as_me:14243: result: $cf_cv_crypt_func" >&5 echo "${ECHO_T}$cf_cv_crypt_func" >&6 if test "$cf_cv_crypt_func" != no ; then cf_save_LIBS="$LIBS" test "$cf_cv_crypt_func" != yes && LIBS="$cf_cv_crypt_func $LIBS" -echo "$as_me:14212: checking if crypt works" >&5 +echo "$as_me:14248: checking if crypt works" >&5 echo $ECHO_N "checking if crypt works... $ECHO_C" >&6 if test "${cf_cv_crypt_works+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -14220,7 +14256,7 @@ cf_cv_crypt_works=unknown else cat >conftest.$ac_ext <<_ACEOF -#line 14223 "configure" +#line 14259 "configure" #include "confdefs.h" #include <string.h> @@ -14232,15 +14268,15 @@ _ACEOF rm -f conftest$ac_exeext -if { (eval echo "$as_me:14235: \"$ac_link\"") >&5 +if { (eval echo "$as_me:14271: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:14238: \$? = $ac_status" >&5 + echo "$as_me:14274: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='./conftest$ac_exeext' - { (eval echo "$as_me:14240: \"$ac_try\"") >&5 + { (eval echo "$as_me:14276: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:14243: \$? = $ac_status" >&5 + echo "$as_me:14279: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_crypt_works=yes @@ -14255,7 +14291,7 @@ fi LIBS="$cf_save_LIBS" fi -echo "$as_me:14258: result: $cf_cv_crypt_works" >&5 +echo "$as_me:14294: result: $cf_cv_crypt_works" >&5 echo "${ECHO_T}$cf_cv_crypt_works" >&6 if test "$cf_cv_crypt_works" != no ; then cat >>confdefs.h <<\EOF @@ -14268,14 +14304,14 @@ fi fi -echo "$as_me:14271: checking for declaration of fd_set" >&5 +echo "$as_me:14307: checking for declaration of fd_set" >&5 echo $ECHO_N "checking for declaration of fd_set... $ECHO_C" >&6 if test "${cf_cv_type_fd_set+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else echo "trying sys/types alone" 1>&5 cat >conftest.$ac_ext <<_ACEOF -#line 14278 "configure" +#line 14314 "configure" #include "confdefs.h" #include <sys/types.h> @@ -14288,16 +14324,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:14291: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:14327: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:14294: \$? = $ac_status" >&5 + echo "$as_me:14330: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:14297: \"$ac_try\"") >&5 + { (eval echo "$as_me:14333: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:14300: \$? = $ac_status" >&5 + echo "$as_me:14336: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_type_fd_set=sys/types.h else @@ -14305,7 +14341,7 @@ cat conftest.$ac_ext >&5 echo "trying X11/Xpoll.h" 1>&5 cat >conftest.$ac_ext <<_ACEOF -#line 14308 "configure" +#line 14344 "configure" #include "confdefs.h" #ifdef HAVE_X11_XPOLL_H @@ -14320,16 +14356,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:14323: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:14359: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:14326: \$? = $ac_status" >&5 + echo "$as_me:14362: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:14329: \"$ac_try\"") >&5 + { (eval echo "$as_me:14365: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:14332: \$? = $ac_status" >&5 + echo "$as_me:14368: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_type_fd_set=X11/Xpoll.h else @@ -14337,7 +14373,7 @@ cat conftest.$ac_ext >&5 echo "trying sys/select.h" 1>&5 cat >conftest.$ac_ext <<_ACEOF -#line 14340 "configure" +#line 14376 "configure" #include "confdefs.h" #include <sys/types.h> @@ -14351,16 +14387,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:14354: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:14390: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:14357: \$? = $ac_status" >&5 + echo "$as_me:14393: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:14360: \"$ac_try\"") >&5 + { (eval echo "$as_me:14396: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:14363: \$? = $ac_status" >&5 + echo "$as_me:14399: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_type_fd_set=sys/select.h else @@ -14374,7 +14410,7 @@ fi rm -f conftest.$ac_objext conftest.$ac_ext fi -echo "$as_me:14377: result: $cf_cv_type_fd_set" >&5 +echo "$as_me:14413: result: $cf_cv_type_fd_set" >&5 echo "${ECHO_T}$cf_cv_type_fd_set" >&6 if test $cf_cv_type_fd_set = sys/select.h ; then cat >>confdefs.h <<\EOF @@ -14383,14 +14419,14 @@ fi -echo "$as_me:14386: checking for fd_set macros" >&5 +echo "$as_me:14422: checking for fd_set macros" >&5 echo $ECHO_N "checking for fd_set macros... $ECHO_C" >&6 if test "${cf_cv_macros_fd_set+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 14393 "configure" +#line 14429 "configure" #include "confdefs.h" #include <sys/types.h> @@ -14419,16 +14455,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:14422: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:14458: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:14425: \$? = $ac_status" >&5 + echo "$as_me:14461: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:14428: \"$ac_try\"") >&5 + { (eval echo "$as_me:14464: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:14431: \$? = $ac_status" >&5 + echo "$as_me:14467: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_macros_fd_set=yes else @@ -14438,7 +14474,7 @@ fi rm -f conftest.$ac_objext conftest.$ac_ext fi -echo "$as_me:14441: result: $cf_cv_macros_fd_set" >&5 +echo "$as_me:14477: result: $cf_cv_macros_fd_set" >&5 echo "${ECHO_T}$cf_cv_macros_fd_set" >&6 test $cf_cv_macros_fd_set = yes && cat >>confdefs.h <<\EOF #define HAVE_TYPE_FD_SET 1 @@ -14485,13 +14521,13 @@ do as_ac_var=`echo "ac_cv_func_$ac_func" | $as_tr_sh` -echo "$as_me:14488: checking for $ac_func" >&5 +echo "$as_me:14524: checking for $ac_func" >&5 echo $ECHO_N "checking for $ac_func... $ECHO_C" >&6 if eval "test \"\${$as_ac_var+set}\" = set"; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 14494 "configure" +#line 14530 "configure" #include "confdefs.h" /* System header to define __stub macros and hopefully few prototypes, which can conflict with char $ac_func (); below. */ @@ -14522,16 +14558,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:14525: \"$ac_link\"") >&5 +if { (eval echo "$as_me:14561: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:14528: \$? = $ac_status" >&5 + echo "$as_me:14564: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:14531: \"$ac_try\"") >&5 + { (eval echo "$as_me:14567: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:14534: \$? = $ac_status" >&5 + echo "$as_me:14570: \$? = $ac_status" >&5 (exit $ac_status); }; }; then eval "$as_ac_var=yes" else @@ -14541,7 +14577,7 @@ fi rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext fi -echo "$as_me:14544: result: `eval echo '${'$as_ac_var'}'`" >&5 +echo "$as_me:14580: result: `eval echo '${'$as_ac_var'}'`" >&5 echo "${ECHO_T}`eval echo '${'$as_ac_var'}'`" >&6 if test `eval echo '${'$as_ac_var'}'` = yes; then cat >>confdefs.h <<EOF @@ -14551,14 +14587,14 @@ fi done -echo "$as_me:14554: checking for standard qsort" >&5 +echo "$as_me:14590: checking for standard qsort" >&5 echo $ECHO_N "checking for standard qsort... $ECHO_C" >&6 if test "${cf_cv_ansi_qsort+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 14561 "configure" +#line 14597 "configure" #include "confdefs.h" #ifdef HAVE_STDLIB_H @@ -14576,16 +14612,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:14579: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:14615: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:14582: \$? = $ac_status" >&5 + echo "$as_me:14618: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:14585: \"$ac_try\"") >&5 + { (eval echo "$as_me:14621: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:14588: \$? = $ac_status" >&5 + echo "$as_me:14624: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_ansi_qsort=yes else @@ -14596,7 +14632,7 @@ rm -f conftest.$ac_objext conftest.$ac_ext fi -echo "$as_me:14599: result: $cf_cv_ansi_qsort" >&5 +echo "$as_me:14635: result: $cf_cv_ansi_qsort" >&5 echo "${ECHO_T}$cf_cv_ansi_qsort" >&6 if test $cf_cv_ansi_qsort = yes; then @@ -14611,7 +14647,7 @@ fi -echo "$as_me:14614: checking for working mkstemp" >&5 +echo "$as_me:14650: checking for working mkstemp" >&5 echo $ECHO_N "checking for working mkstemp... $ECHO_C" >&6 if test "${cf_cv_func_mkstemp+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -14619,13 +14655,13 @@ rm -f conftest* if test "$cross_compiling" = yes; then - echo "$as_me:14622: checking for mkstemp" >&5 + echo "$as_me:14658: checking for mkstemp" >&5 echo $ECHO_N "checking for mkstemp... $ECHO_C" >&6 if test "${ac_cv_func_mkstemp+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 14628 "configure" +#line 14664 "configure" #include "confdefs.h" /* System header to define __stub macros and hopefully few prototypes, which can conflict with char mkstemp (); below. */ @@ -14656,16 +14692,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:14659: \"$ac_link\"") >&5 +if { (eval echo "$as_me:14695: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:14662: \$? = $ac_status" >&5 + echo "$as_me:14698: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:14665: \"$ac_try\"") >&5 + { (eval echo "$as_me:14701: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:14668: \$? = $ac_status" >&5 + echo "$as_me:14704: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_func_mkstemp=yes else @@ -14675,12 +14711,12 @@ fi rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext fi -echo "$as_me:14678: result: $ac_cv_func_mkstemp" >&5 +echo "$as_me:14714: result: $ac_cv_func_mkstemp" >&5 echo "${ECHO_T}$ac_cv_func_mkstemp" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 14683 "configure" +#line 14719 "configure" #include "confdefs.h" #include <sys/types.h> @@ -14718,15 +14754,15 @@ _ACEOF rm -f conftest$ac_exeext -if { (eval echo "$as_me:14721: \"$ac_link\"") >&5 +if { (eval echo "$as_me:14757: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:14724: \$? = $ac_status" >&5 + echo "$as_me:14760: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='./conftest$ac_exeext' - { (eval echo "$as_me:14726: \"$ac_try\"") >&5 + { (eval echo "$as_me:14762: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:14729: \$? = $ac_status" >&5 + echo "$as_me:14765: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_func_mkstemp=yes @@ -14741,7 +14777,7 @@ fi fi -echo "$as_me:14744: result: $cf_cv_func_mkstemp" >&5 +echo "$as_me:14780: result: $cf_cv_func_mkstemp" >&5 echo "${ECHO_T}$cf_cv_func_mkstemp" >&6 if test "$cf_cv_func_mkstemp" = yes ; then cat >>confdefs.h <<\EOF @@ -14759,7 +14795,7 @@ cf_save_cppflags="${CPPFLAGS}" cf_save_ldflags="${LDFLAGS}" -echo "$as_me:14762: checking if you want to use the Xpm library for colored icon" >&5 +echo "$as_me:14798: checking if you want to use the Xpm library for colored icon" >&5 echo $ECHO_N "checking if you want to use the Xpm library for colored icon... $ECHO_C" >&6 # Check whether --with-xpm or --without-xpm was given. @@ -14769,7 +14805,7 @@ else cf_Xpm_library=no fi; -echo "$as_me:14772: result: $cf_Xpm_library" >&5 +echo "$as_me:14808: result: $cf_Xpm_library" >&5 echo "${ECHO_T}$cf_Xpm_library" >&6 if test "$cf_Xpm_library" != no ; then @@ -14777,23 +14813,23 @@ CPPFLAGS="$CPPFLAGS -I$withval/include" LDFLAGS="$LDFLAGS -L$withval/lib" fi - echo "$as_me:14780: checking for X11/xpm.h" >&5 + echo "$as_me:14816: checking for X11/xpm.h" >&5 echo $ECHO_N "checking for X11/xpm.h... $ECHO_C" >&6 if test "${ac_cv_header_X11_xpm_h+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 14786 "configure" +#line 14822 "configure" #include "confdefs.h" #include <X11/xpm.h> _ACEOF -if { (eval echo "$as_me:14790: \"$ac_cpp conftest.$ac_ext\"") >&5 +if { (eval echo "$as_me:14826: \"$ac_cpp conftest.$ac_ext\"") >&5 (eval $ac_cpp conftest.$ac_ext) 2>conftest.er1 ac_status=$? egrep -v '^ *\+' conftest.er1 >conftest.err rm -f conftest.er1 cat conftest.err >&5 - echo "$as_me:14796: \$? = $ac_status" >&5 + echo "$as_me:14832: \$? = $ac_status" >&5 (exit $ac_status); } >/dev/null; then if test -s conftest.err; then ac_cpp_err=$ac_c_preproc_warn_flag @@ -14812,11 +14848,11 @@ fi rm -f conftest.err conftest.$ac_ext fi -echo "$as_me:14815: result: $ac_cv_header_X11_xpm_h" >&5 +echo "$as_me:14851: result: $ac_cv_header_X11_xpm_h" >&5 echo "${ECHO_T}$ac_cv_header_X11_xpm_h" >&6 if test $ac_cv_header_X11_xpm_h = yes; then - echo "$as_me:14819: checking for XpmCreatePixmapFromData in -lXpm" >&5 + echo "$as_me:14855: checking for XpmCreatePixmapFromData in -lXpm" >&5 echo $ECHO_N "checking for XpmCreatePixmapFromData in -lXpm... $ECHO_C" >&6 if test "${ac_cv_lib_Xpm_XpmCreatePixmapFromData+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -14824,7 +14860,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-lXpm -lX11 $X_LIBS $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 14827 "configure" +#line 14863 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -14843,16 +14879,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:14846: \"$ac_link\"") >&5 +if { (eval echo "$as_me:14882: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:14849: \$? = $ac_status" >&5 + echo "$as_me:14885: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:14852: \"$ac_try\"") >&5 + { (eval echo "$as_me:14888: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:14855: \$? = $ac_status" >&5 + echo "$as_me:14891: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_Xpm_XpmCreatePixmapFromData=yes else @@ -14863,7 +14899,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:14866: result: $ac_cv_lib_Xpm_XpmCreatePixmapFromData" >&5 +echo "$as_me:14902: result: $ac_cv_lib_Xpm_XpmCreatePixmapFromData" >&5 echo "${ECHO_T}$ac_cv_lib_Xpm_XpmCreatePixmapFromData" >&6 if test $ac_cv_lib_Xpm_XpmCreatePixmapFromData = yes; then @@ -14884,7 +14920,7 @@ fi if test -n "$cf_x_athena" ; then - echo "$as_me:14887: checking if you want to use Xaw scrollbars rather than our own" >&5 + echo "$as_me:14923: checking if you want to use Xaw scrollbars rather than our own" >&5 echo $ECHO_N "checking if you want to use Xaw scrollbars rather than our own... $ECHO_C" >&6 # Check whether --with-Xaw-scrollbars or --without-Xaw-scrollbars was given. @@ -14894,7 +14930,7 @@ else cf_Xaw_scrollbars=no fi; - echo "$as_me:14897: result: $cf_Xaw_scrollbars" >&5 + echo "$as_me:14933: result: $cf_Xaw_scrollbars" >&5 echo "${ECHO_T}$cf_Xaw_scrollbars" >&6 if test "$cf_Xaw_scrollbars" = no ; then @@ -14911,7 +14947,7 @@ #define OPT_XAW_SCROLLBARS 1 EOF - echo "$as_me:14914: checking if you want to use the drag/scrolling extension with Xaw" >&5 + echo "$as_me:14950: checking if you want to use the drag/scrolling extension with Xaw" >&5 echo $ECHO_N "checking if you want to use the drag/scrolling extension with Xaw... $ECHO_C" >&6 # Check whether --with-drag-extension or --without-drag-extension was given. @@ -14921,7 +14957,7 @@ else cf_drag_extension=no fi; - echo "$as_me:14924: result: $cf_drag_extension" >&5 + echo "$as_me:14960: result: $cf_drag_extension" >&5 echo "${ECHO_T}$cf_drag_extension" >&6 if test "$cf_drag_extension" != "no" ; then cat >>confdefs.h <<\EOF @@ -14934,7 +14970,7 @@ fi -echo "$as_me:14937: checking if you want to change the number of exec-macros" >&5 +echo "$as_me:14973: checking if you want to change the number of exec-macros" >&5 echo $ECHO_N "checking if you want to change the number of exec-macros... $ECHO_C" >&6 # Check whether --with-exec-macros or --without-exec-macros was given. @@ -14944,13 +14980,13 @@ else cf_exec_macros=no fi; -echo "$as_me:14947: result: $cf_exec_macros" >&5 +echo "$as_me:14983: result: $cf_exec_macros" >&5 echo "${ECHO_T}$cf_exec_macros" >&6 test "$cf_exec_macros" != no && cat >>confdefs.h <<EOF #define OPT_EXEC_MACROS $cf_exec_macros EOF - echo "$as_me:14953: checking for _LARGEFILE_SOURCE value needed for large files" >&5 + echo "$as_me:14989: checking for _LARGEFILE_SOURCE value needed for large files" >&5 echo $ECHO_N "checking for _LARGEFILE_SOURCE value needed for large files... $ECHO_C" >&6 if test "${ac_cv_sys_largefile_source+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -14958,7 +14994,7 @@ while :; do ac_cv_sys_largefile_source=no cat >conftest.$ac_ext <<_ACEOF -#line 14961 "configure" +#line 14997 "configure" #include "confdefs.h" #include <stdio.h> int @@ -14970,16 +15006,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:14973: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:15009: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:14976: \$? = $ac_status" >&5 + echo "$as_me:15012: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:14979: \"$ac_try\"") >&5 + { (eval echo "$as_me:15015: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:14982: \$? = $ac_status" >&5 + echo "$as_me:15018: \$? = $ac_status" >&5 (exit $ac_status); }; }; then break else @@ -14988,7 +15024,7 @@ fi rm -f conftest.$ac_objext conftest.$ac_ext cat >conftest.$ac_ext <<_ACEOF -#line 14991 "configure" +#line 15027 "configure" #include "confdefs.h" #define _LARGEFILE_SOURCE 1 #include <stdio.h> @@ -15001,16 +15037,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:15004: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:15040: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:15007: \$? = $ac_status" >&5 + echo "$as_me:15043: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:15010: \"$ac_try\"") >&5 + { (eval echo "$as_me:15046: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:15013: \$? = $ac_status" >&5 + echo "$as_me:15049: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_sys_largefile_source=1; break else @@ -15021,7 +15057,7 @@ break done fi -echo "$as_me:15024: result: $ac_cv_sys_largefile_source" >&5 +echo "$as_me:15060: result: $ac_cv_sys_largefile_source" >&5 echo "${ECHO_T}$ac_cv_sys_largefile_source" >&6 if test "$ac_cv_sys_largefile_source" != no; then @@ -15035,13 +15071,13 @@ # We used to try defining _XOPEN_SOURCE=500 too, to work around a bug # in glibc 2.1.3, but that breaks too many other things. # If you want fseeko and ftello with glibc, upgrade to a fixed glibc. -echo "$as_me:15038: checking for fseeko" >&5 +echo "$as_me:15074: checking for fseeko" >&5 echo $ECHO_N "checking for fseeko... $ECHO_C" >&6 if test "${ac_cv_func_fseeko+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 15044 "configure" +#line 15080 "configure" #include "confdefs.h" #include <stdio.h> int @@ -15053,16 +15089,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:15056: \"$ac_link\"") >&5 +if { (eval echo "$as_me:15092: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:15059: \$? = $ac_status" >&5 + echo "$as_me:15095: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:15062: \"$ac_try\"") >&5 + { (eval echo "$as_me:15098: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:15065: \$? = $ac_status" >&5 + echo "$as_me:15101: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_func_fseeko=yes else @@ -15072,7 +15108,7 @@ fi rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext fi -echo "$as_me:15075: result: $ac_cv_func_fseeko" >&5 +echo "$as_me:15111: result: $ac_cv_func_fseeko" >&5 echo "${ECHO_T}$ac_cv_func_fseeko" >&6 if test $ac_cv_func_fseeko = yes; then @@ -15089,7 +15125,7 @@ fi; if test "$enable_largefile" != no; then - echo "$as_me:15092: checking for special C compiler options needed for large files" >&5 + echo "$as_me:15128: checking for special C compiler options needed for large files" >&5 echo $ECHO_N "checking for special C compiler options needed for large files... $ECHO_C" >&6 if test "${ac_cv_sys_largefile_CC+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -15101,7 +15137,7 @@ # IRIX 6.2 and later do not support large files by default, # so use the C compiler's -n32 option if that helps. cat >conftest.$ac_ext <<_ACEOF -#line 15104 "configure" +#line 15140 "configure" #include "confdefs.h" #include <sys/types.h> /* Check that off_t can represent 2**63 - 1 correctly. @@ -15121,16 +15157,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:15124: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:15160: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:15127: \$? = $ac_status" >&5 + echo "$as_me:15163: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:15130: \"$ac_try\"") >&5 + { (eval echo "$as_me:15166: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:15133: \$? = $ac_status" >&5 + echo "$as_me:15169: \$? = $ac_status" >&5 (exit $ac_status); }; }; then break else @@ -15140,16 +15176,16 @@ rm -f conftest.$ac_objext CC="$CC -n32" rm -f conftest.$ac_objext -if { (eval echo "$as_me:15143: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:15179: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:15146: \$? = $ac_status" >&5 + echo "$as_me:15182: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:15149: \"$ac_try\"") >&5 + { (eval echo "$as_me:15185: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:15152: \$? = $ac_status" >&5 + echo "$as_me:15188: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_sys_largefile_CC=' -n32'; break else @@ -15163,13 +15199,13 @@ rm -f conftest.$ac_ext fi fi -echo "$as_me:15166: result: $ac_cv_sys_largefile_CC" >&5 +echo "$as_me:15202: result: $ac_cv_sys_largefile_CC" >&5 echo "${ECHO_T}$ac_cv_sys_largefile_CC" >&6 if test "$ac_cv_sys_largefile_CC" != no; then CC=$CC$ac_cv_sys_largefile_CC fi - echo "$as_me:15172: checking for _FILE_OFFSET_BITS value needed for large files" >&5 + echo "$as_me:15208: checking for _FILE_OFFSET_BITS value needed for large files" >&5 echo $ECHO_N "checking for _FILE_OFFSET_BITS value needed for large files... $ECHO_C" >&6 if test "${ac_cv_sys_file_offset_bits+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -15177,7 +15213,7 @@ while :; do ac_cv_sys_file_offset_bits=no cat >conftest.$ac_ext <<_ACEOF -#line 15180 "configure" +#line 15216 "configure" #include "confdefs.h" #include <sys/types.h> /* Check that off_t can represent 2**63 - 1 correctly. @@ -15197,16 +15233,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:15200: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:15236: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:15203: \$? = $ac_status" >&5 + echo "$as_me:15239: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:15206: \"$ac_try\"") >&5 + { (eval echo "$as_me:15242: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:15209: \$? = $ac_status" >&5 + echo "$as_me:15245: \$? = $ac_status" >&5 (exit $ac_status); }; }; then break else @@ -15215,7 +15251,7 @@ fi rm -f conftest.$ac_objext conftest.$ac_ext cat >conftest.$ac_ext <<_ACEOF -#line 15218 "configure" +#line 15254 "configure" #include "confdefs.h" #define _FILE_OFFSET_BITS 64 #include <sys/types.h> @@ -15236,16 +15272,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:15239: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:15275: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:15242: \$? = $ac_status" >&5 + echo "$as_me:15278: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:15245: \"$ac_try\"") >&5 + { (eval echo "$as_me:15281: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:15248: \$? = $ac_status" >&5 + echo "$as_me:15284: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_sys_file_offset_bits=64; break else @@ -15256,7 +15292,7 @@ break done fi -echo "$as_me:15259: result: $ac_cv_sys_file_offset_bits" >&5 +echo "$as_me:15295: result: $ac_cv_sys_file_offset_bits" >&5 echo "${ECHO_T}$ac_cv_sys_file_offset_bits" >&6 if test "$ac_cv_sys_file_offset_bits" != no; then @@ -15266,7 +15302,7 @@ fi rm -f conftest* - echo "$as_me:15269: checking for _LARGE_FILES value needed for large files" >&5 + echo "$as_me:15305: checking for _LARGE_FILES value needed for large files" >&5 echo $ECHO_N "checking for _LARGE_FILES value needed for large files... $ECHO_C" >&6 if test "${ac_cv_sys_large_files+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -15274,7 +15310,7 @@ while :; do ac_cv_sys_large_files=no cat >conftest.$ac_ext <<_ACEOF -#line 15277 "configure" +#line 15313 "configure" #include "confdefs.h" #include <sys/types.h> /* Check that off_t can represent 2**63 - 1 correctly. @@ -15294,16 +15330,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:15297: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:15333: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:15300: \$? = $ac_status" >&5 + echo "$as_me:15336: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:15303: \"$ac_try\"") >&5 + { (eval echo "$as_me:15339: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:15306: \$? = $ac_status" >&5 + echo "$as_me:15342: \$? = $ac_status" >&5 (exit $ac_status); }; }; then break else @@ -15312,7 +15348,7 @@ fi rm -f conftest.$ac_objext conftest.$ac_ext cat >conftest.$ac_ext <<_ACEOF -#line 15315 "configure" +#line 15351 "configure" #include "confdefs.h" #define _LARGE_FILES 1 #include <sys/types.h> @@ -15333,16 +15369,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:15336: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:15372: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:15339: \$? = $ac_status" >&5 + echo "$as_me:15375: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:15342: \"$ac_try\"") >&5 + { (eval echo "$as_me:15378: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:15345: \$? = $ac_status" >&5 + echo "$as_me:15381: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_sys_large_files=1; break else @@ -15353,7 +15389,7 @@ break done fi -echo "$as_me:15356: result: $ac_cv_sys_large_files" >&5 +echo "$as_me:15392: result: $ac_cv_sys_large_files" >&5 echo "${ECHO_T}$ac_cv_sys_large_files" >&6 if test "$ac_cv_sys_large_files" != no; then @@ -15375,14 +15411,14 @@ test "$ac_cv_sys_large_files" != no && CPPFLAGS="$CPPFLAGS -D_LARGE_FILES " test "$ac_cv_sys_largefile_source" != no && CPPFLAGS="$CPPFLAGS -D_LARGEFILE_SOURCE " - echo "$as_me:15378: checking whether to use struct dirent64" >&5 + echo "$as_me:15414: checking whether to use struct dirent64" >&5 echo $ECHO_N "checking whether to use struct dirent64... $ECHO_C" >&6 if test "${cf_cv_struct_dirent64+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 15385 "configure" +#line 15421 "configure" #include "confdefs.h" #include <sys/types.h> @@ -15403,16 +15439,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:15406: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:15442: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:15409: \$? = $ac_status" >&5 + echo "$as_me:15445: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:15412: \"$ac_try\"") >&5 + { (eval echo "$as_me:15448: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:15415: \$? = $ac_status" >&5 + echo "$as_me:15451: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_struct_dirent64=yes else @@ -15423,7 +15459,7 @@ rm -f conftest.$ac_objext conftest.$ac_ext fi -echo "$as_me:15426: result: $cf_cv_struct_dirent64" >&5 +echo "$as_me:15462: result: $cf_cv_struct_dirent64" >&5 echo "${ECHO_T}$cf_cv_struct_dirent64" >&6 test "$cf_cv_struct_dirent64" = yes && cat >>confdefs.h <<\EOF #define HAVE_STRUCT_DIRENT64 1 @@ -15432,14 +15468,14 @@ # I don't know of a reliable test for working locale, but we can at least check # that it is available before offering it as an option. -echo "$as_me:15435: checking for setlocale()" >&5 +echo "$as_me:15471: checking for setlocale()" >&5 echo $ECHO_N "checking for setlocale()... $ECHO_C" >&6 if test "${cf_cv_locale+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 15442 "configure" +#line 15478 "configure" #include "confdefs.h" #include <locale.h> int @@ -15451,16 +15487,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:15454: \"$ac_link\"") >&5 +if { (eval echo "$as_me:15490: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:15457: \$? = $ac_status" >&5 + echo "$as_me:15493: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:15460: \"$ac_try\"") >&5 + { (eval echo "$as_me:15496: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:15463: \$? = $ac_status" >&5 + echo "$as_me:15499: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_locale=yes else @@ -15472,7 +15508,7 @@ fi -echo "$as_me:15475: result: $cf_cv_locale" >&5 +echo "$as_me:15511: result: $cf_cv_locale" >&5 echo "${ECHO_T}$cf_cv_locale" >&6 test $cf_cv_locale = yes && { cat >>confdefs.h <<\EOF #define LOCALE 1 @@ -15480,7 +15516,7 @@ } if test $cf_cv_locale = yes ; then -echo "$as_me:15483: checking if you want to use i18n locale support" >&5 +echo "$as_me:15519: checking if you want to use i18n locale support" >&5 echo $ECHO_N "checking if you want to use i18n locale support... $ECHO_C" >&6 # Check whether --with-locale or --without-locale was given. @@ -15490,7 +15526,7 @@ else cf_locale=yes fi; -echo "$as_me:15493: result: $cf_locale" >&5 +echo "$as_me:15529: result: $cf_locale" >&5 echo "${ECHO_T}$cf_locale" >&6 if test "$cf_locale" != no ; then cat >>confdefs.h <<\EOF @@ -15499,13 +15535,13 @@ eval 'cf_cv_have_lib_'iconv'=no' cf_libdir="" - echo "$as_me:15502: checking for iconv" >&5 + echo "$as_me:15538: checking for iconv" >&5 echo $ECHO_N "checking for iconv... $ECHO_C" >&6 if test "${ac_cv_func_iconv+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 15508 "configure" +#line 15544 "configure" #include "confdefs.h" /* System header to define __stub macros and hopefully few prototypes, which can conflict with char iconv (); below. */ @@ -15536,16 +15572,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:15539: \"$ac_link\"") >&5 +if { (eval echo "$as_me:15575: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:15542: \$? = $ac_status" >&5 + echo "$as_me:15578: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:15545: \"$ac_try\"") >&5 + { (eval echo "$as_me:15581: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:15548: \$? = $ac_status" >&5 + echo "$as_me:15584: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_func_iconv=yes else @@ -15555,18 +15591,18 @@ fi rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext fi -echo "$as_me:15558: result: $ac_cv_func_iconv" >&5 +echo "$as_me:15594: result: $ac_cv_func_iconv" >&5 echo "${ECHO_T}$ac_cv_func_iconv" >&6 if test $ac_cv_func_iconv = yes; then eval 'cf_cv_have_lib_'iconv'=yes' else cf_save_LIBS="$LIBS" - echo "$as_me:15565: checking for iconv in -liconv" >&5 + echo "$as_me:15601: checking for iconv in -liconv" >&5 echo $ECHO_N "checking for iconv in -liconv... $ECHO_C" >&6 LIBS="-liconv $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 15569 "configure" +#line 15605 "configure" #include "confdefs.h" #include <iconv.h> int @@ -15578,25 +15614,25 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:15581: \"$ac_link\"") >&5 +if { (eval echo "$as_me:15617: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:15584: \$? = $ac_status" >&5 + echo "$as_me:15620: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:15587: \"$ac_try\"") >&5 + { (eval echo "$as_me:15623: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:15590: \$? = $ac_status" >&5 + echo "$as_me:15626: \$? = $ac_status" >&5 (exit $ac_status); }; }; then - echo "$as_me:15592: result: yes" >&5 + echo "$as_me:15628: result: yes" >&5 echo "${ECHO_T}yes" >&6 eval 'cf_cv_have_lib_'iconv'=yes' else echo "$as_me: failed program was:" >&5 cat conftest.$ac_ext >&5 -echo "$as_me:15599: result: no" >&5 +echo "$as_me:15635: result: no" >&5 echo "${ECHO_T}no" >&6 cf_search="" @@ -15656,11 +15692,11 @@ for cf_libdir in $cf_search do - echo "$as_me:15659: checking for -liconv in $cf_libdir" >&5 + echo "$as_me:15695: checking for -liconv in $cf_libdir" >&5 echo $ECHO_N "checking for -liconv in $cf_libdir... $ECHO_C" >&6 LIBS="-L$cf_libdir -liconv $cf_save_LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 15663 "configure" +#line 15699 "configure" #include "confdefs.h" #include <iconv.h> int @@ -15672,25 +15708,25 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:15675: \"$ac_link\"") >&5 +if { (eval echo "$as_me:15711: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:15678: \$? = $ac_status" >&5 + echo "$as_me:15714: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:15681: \"$ac_try\"") >&5 + { (eval echo "$as_me:15717: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:15684: \$? = $ac_status" >&5 + echo "$as_me:15720: \$? = $ac_status" >&5 (exit $ac_status); }; }; then - echo "$as_me:15686: result: yes" >&5 + echo "$as_me:15722: result: yes" >&5 echo "${ECHO_T}yes" >&6 eval 'cf_cv_have_lib_'iconv'=yes' break else echo "$as_me: failed program was:" >&5 cat conftest.$ac_ext >&5 -echo "$as_me:15693: result: no" >&5 +echo "$as_me:15729: result: no" >&5 echo "${ECHO_T}no" >&6 LIBS="$cf_save_LIBS" fi @@ -15704,7 +15740,7 @@ eval 'cf_found_library=$cf_cv_have_lib_'iconv -echo "$as_me:15707: checking for iconv function library" >&5 +echo "$as_me:15743: checking for iconv function library" >&5 echo $ECHO_N "checking for iconv function library... $ECHO_C" >&6 if test "${cf_cv_func_iconv+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -15717,11 +15753,11 @@ fi fi -echo "$as_me:15720: result: $cf_cv_func_iconv" >&5 +echo "$as_me:15756: result: $cf_cv_func_iconv" >&5 echo "${ECHO_T}$cf_cv_func_iconv" >&6 if test "$cf_cv_func_iconv" != no ; then -echo "$as_me:15724: checking if you want to use iconv() for locale support" >&5 +echo "$as_me:15760: checking if you want to use iconv() for locale support" >&5 echo $ECHO_N "checking if you want to use iconv() for locale support... $ECHO_C" >&6 # Check whether --with-iconv or --without-iconv was given. @@ -15731,7 +15767,7 @@ else cf_func_iconv=yes fi; -echo "$as_me:15734: result: $cf_func_iconv" >&5 +echo "$as_me:15770: result: $cf_func_iconv" >&5 echo "${ECHO_T}$cf_func_iconv" >&6 if test "$cf_func_iconv" = yes ; then cat >>confdefs.h <<\EOF @@ -15746,7 +15782,7 @@ ### Debugging/development options -echo "$as_me:15749: checking if you want to link with dbmalloc for testing" >&5 +echo "$as_me:15785: checking if you want to link with dbmalloc for testing" >&5 echo $ECHO_N "checking if you want to link with dbmalloc for testing... $ECHO_C" >&6 # Check whether --with-dbmalloc or --without-dbmalloc was given. @@ -15756,26 +15792,26 @@ else with_dbmalloc=no fi; -echo "$as_me:15759: result: $with_dbmalloc" >&5 +echo "$as_me:15795: result: $with_dbmalloc" >&5 echo "${ECHO_T}$with_dbmalloc" >&6 if test "$with_dbmalloc" = yes ; then - echo "$as_me:15762: checking for dbmalloc.h" >&5 + echo "$as_me:15798: checking for dbmalloc.h" >&5 echo $ECHO_N "checking for dbmalloc.h... $ECHO_C" >&6 if test "${ac_cv_header_dbmalloc_h+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 15768 "configure" +#line 15804 "configure" #include "confdefs.h" #include <dbmalloc.h> _ACEOF -if { (eval echo "$as_me:15772: \"$ac_cpp conftest.$ac_ext\"") >&5 +if { (eval echo "$as_me:15808: \"$ac_cpp conftest.$ac_ext\"") >&5 (eval $ac_cpp conftest.$ac_ext) 2>conftest.er1 ac_status=$? egrep -v '^ *\+' conftest.er1 >conftest.err rm -f conftest.er1 cat conftest.err >&5 - echo "$as_me:15778: \$? = $ac_status" >&5 + echo "$as_me:15814: \$? = $ac_status" >&5 (exit $ac_status); } >/dev/null; then if test -s conftest.err; then ac_cpp_err=$ac_c_preproc_warn_flag @@ -15794,11 +15830,11 @@ fi rm -f conftest.err conftest.$ac_ext fi -echo "$as_me:15797: result: $ac_cv_header_dbmalloc_h" >&5 +echo "$as_me:15833: result: $ac_cv_header_dbmalloc_h" >&5 echo "${ECHO_T}$ac_cv_header_dbmalloc_h" >&6 if test $ac_cv_header_dbmalloc_h = yes; then -echo "$as_me:15801: checking for debug_malloc in -ldbmalloc" >&5 +echo "$as_me:15837: checking for debug_malloc in -ldbmalloc" >&5 echo $ECHO_N "checking for debug_malloc in -ldbmalloc... $ECHO_C" >&6 if test "${ac_cv_lib_dbmalloc_debug_malloc+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -15806,7 +15842,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-ldbmalloc $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 15809 "configure" +#line 15845 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -15825,16 +15861,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:15828: \"$ac_link\"") >&5 +if { (eval echo "$as_me:15864: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:15831: \$? = $ac_status" >&5 + echo "$as_me:15867: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:15834: \"$ac_try\"") >&5 + { (eval echo "$as_me:15870: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:15837: \$? = $ac_status" >&5 + echo "$as_me:15873: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_dbmalloc_debug_malloc=yes else @@ -15845,7 +15881,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:15848: result: $ac_cv_lib_dbmalloc_debug_malloc" >&5 +echo "$as_me:15884: result: $ac_cv_lib_dbmalloc_debug_malloc" >&5 echo "${ECHO_T}$ac_cv_lib_dbmalloc_debug_malloc" >&6 if test $ac_cv_lib_dbmalloc_debug_malloc = yes; then cat >>confdefs.h <<EOF @@ -15860,7 +15896,7 @@ fi -echo "$as_me:15863: checking if you want to link with dmalloc for testing" >&5 +echo "$as_me:15899: checking if you want to link with dmalloc for testing" >&5 echo $ECHO_N "checking if you want to link with dmalloc for testing... $ECHO_C" >&6 # Check whether --with-dmalloc or --without-dmalloc was given. @@ -15870,26 +15906,26 @@ else with_dmalloc=no fi; -echo "$as_me:15873: result: $with_dmalloc" >&5 +echo "$as_me:15909: result: $with_dmalloc" >&5 echo "${ECHO_T}$with_dmalloc" >&6 if test "$with_dmalloc" = yes ; then - echo "$as_me:15876: checking for dmalloc.h" >&5 + echo "$as_me:15912: checking for dmalloc.h" >&5 echo $ECHO_N "checking for dmalloc.h... $ECHO_C" >&6 if test "${ac_cv_header_dmalloc_h+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 15882 "configure" +#line 15918 "configure" #include "confdefs.h" #include <dmalloc.h> _ACEOF -if { (eval echo "$as_me:15886: \"$ac_cpp conftest.$ac_ext\"") >&5 +if { (eval echo "$as_me:15922: \"$ac_cpp conftest.$ac_ext\"") >&5 (eval $ac_cpp conftest.$ac_ext) 2>conftest.er1 ac_status=$? egrep -v '^ *\+' conftest.er1 >conftest.err rm -f conftest.er1 cat conftest.err >&5 - echo "$as_me:15892: \$? = $ac_status" >&5 + echo "$as_me:15928: \$? = $ac_status" >&5 (exit $ac_status); } >/dev/null; then if test -s conftest.err; then ac_cpp_err=$ac_c_preproc_warn_flag @@ -15908,11 +15944,11 @@ fi rm -f conftest.err conftest.$ac_ext fi -echo "$as_me:15911: result: $ac_cv_header_dmalloc_h" >&5 +echo "$as_me:15947: result: $ac_cv_header_dmalloc_h" >&5 echo "${ECHO_T}$ac_cv_header_dmalloc_h" >&6 if test $ac_cv_header_dmalloc_h = yes; then -echo "$as_me:15915: checking for dmalloc_debug in -ldmalloc" >&5 +echo "$as_me:15951: checking for dmalloc_debug in -ldmalloc" >&5 echo $ECHO_N "checking for dmalloc_debug in -ldmalloc... $ECHO_C" >&6 if test "${ac_cv_lib_dmalloc_dmalloc_debug+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -15920,7 +15956,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-ldmalloc $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 15923 "configure" +#line 15959 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -15939,16 +15975,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:15942: \"$ac_link\"") >&5 +if { (eval echo "$as_me:15978: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:15945: \$? = $ac_status" >&5 + echo "$as_me:15981: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:15948: \"$ac_try\"") >&5 + { (eval echo "$as_me:15984: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:15951: \$? = $ac_status" >&5 + echo "$as_me:15987: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_dmalloc_dmalloc_debug=yes else @@ -15959,7 +15995,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:15962: result: $ac_cv_lib_dmalloc_dmalloc_debug" >&5 +echo "$as_me:15998: result: $ac_cv_lib_dmalloc_dmalloc_debug" >&5 echo "${ECHO_T}$ac_cv_lib_dmalloc_dmalloc_debug" >&6 if test $ac_cv_lib_dmalloc_dmalloc_debug = yes; then cat >>confdefs.h <<EOF @@ -16033,17 +16069,17 @@ GCC_VERSION=none if test "$GCC" = yes ; then - echo "$as_me:16036: checking version of $CC" >&5 + echo "$as_me:16072: checking version of $CC" >&5 echo $ECHO_N "checking version of $CC... $ECHO_C" >&6 - GCC_VERSION="`${CC} --version|sed -e '2,$d' -e 's/^[^0-9.]*//' -e 's/[^0-9.].*//'`" + GCC_VERSION="`${CC} --version| sed -e '2,$d' -e 's/^.*(GCC) //' -e 's/^[^0-9.]*//' -e 's/[^0-9.].*//'`" test -z "$GCC_VERSION" && GCC_VERSION=unknown - echo "$as_me:16040: result: $GCC_VERSION" >&5 + echo "$as_me:16076: result: $GCC_VERSION" >&5 echo "${ECHO_T}$GCC_VERSION" >&6 fi if ( test "$GCC" = yes || test "$GXX" = yes ) then -echo "$as_me:16046: checking if you want to check for gcc warnings" >&5 +echo "$as_me:16082: checking if you want to check for gcc warnings" >&5 echo $ECHO_N "checking if you want to check for gcc warnings... $ECHO_C" >&6 # Check whether --with-warnings or --without-warnings was given. @@ -16053,7 +16089,7 @@ else cf_opt_with_warnings=no fi; -echo "$as_me:16056: result: $cf_opt_with_warnings" >&5 +echo "$as_me:16092: result: $cf_opt_with_warnings" >&5 echo "${ECHO_T}$cf_opt_with_warnings" >&6 if test "$cf_opt_with_warnings" != no ; then @@ -16075,10 +16111,10 @@ EOF if test "$GCC" = yes then - { echo "$as_me:16078: checking for $CC __attribute__ directives..." >&5 + { echo "$as_me:16114: checking for $CC __attribute__ directives..." >&5 echo "$as_me: checking for $CC __attribute__ directives..." >&6;} cat > conftest.$ac_ext <<EOF -#line 16081 "configure" +#line 16117 "configure" #include "confdefs.h" #include "conftest.h" #include "conftest.i" @@ -16116,12 +16152,12 @@ EOF ;; esac - if { (eval echo "$as_me:16119: \"$ac_compile\"") >&5 + if { (eval echo "$as_me:16155: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:16122: \$? = $ac_status" >&5 + echo "$as_me:16158: \$? = $ac_status" >&5 (exit $ac_status); }; then - test -n "$verbose" && echo "$as_me:16124: result: ... $cf_attribute" >&5 + test -n "$verbose" && echo "$as_me:16160: result: ... $cf_attribute" >&5 echo "${ECHO_T}... $cf_attribute" >&6 cat conftest.h >>confdefs.h fi @@ -16132,8 +16168,61 @@ rm -rf conftest* fi +INTEL_COMPILER=no + +if test "$GCC" = yes ; then + case $host_os in + linux*|gnu*) + echo "$as_me:16176: checking if this is really Intel C compiler" >&5 +echo $ECHO_N "checking if this is really Intel C compiler... $ECHO_C" >&6 + cf_save_CFLAGS="$CFLAGS" + CFLAGS="$CFLAGS -no-gcc" + cat >conftest.$ac_ext <<_ACEOF +#line 16181 "configure" +#include "confdefs.h" + +int +main () +{ + +#ifdef __INTEL_COMPILER +#else +make an error +#endif + + ; + return 0; +} +_ACEOF +rm -f conftest.$ac_objext +if { (eval echo "$as_me:16198: \"$ac_compile\"") >&5 + (eval $ac_compile) 2>&5 + ac_status=$? + echo "$as_me:16201: \$? = $ac_status" >&5 + (exit $ac_status); } && + { ac_try='test -s conftest.$ac_objext' + { (eval echo "$as_me:16204: \"$ac_try\"") >&5 + (eval $ac_try) 2>&5 + ac_status=$? + echo "$as_me:16207: \$? = $ac_status" >&5 + (exit $ac_status); }; }; then + INTEL_COMPILER=yes +cf_save_CFLAGS="$cf_save_CFLAGS -we147 -no-gcc" + +else + echo "$as_me: failed program was:" >&5 +cat conftest.$ac_ext >&5 +fi +rm -f conftest.$ac_objext conftest.$ac_ext + CFLAGS="$cf_save_CFLAGS" + echo "$as_me:16218: result: $INTEL_COMPILER" >&5 +echo "${ECHO_T}$INTEL_COMPILER" >&6 + ;; + esac +fi + cat > conftest.$ac_ext <<EOF -#line 16136 "configure" +#line 16225 "configure" int main(int argc, char *argv[]) { return (argv[argc-1] == 0) ; } EOF @@ -16151,7 +16240,7 @@ # remark #981: operands are evaluated in unspecified order # warning #269: invalid format string conversion - { echo "$as_me:16154: checking for $CC warning options..." >&5 + { echo "$as_me:16243: checking for $CC warning options..." >&5 echo "$as_me: checking for $CC warning options..." >&6;} cf_save_CFLAGS="$CFLAGS" EXTRA_CFLAGS="-Wall" @@ -16168,12 +16257,12 @@ wd981 do CFLAGS="$cf_save_CFLAGS $EXTRA_CFLAGS -$cf_opt" - if { (eval echo "$as_me:16171: \"$ac_compile\"") >&5 + if { (eval echo "$as_me:16260: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:16174: \$? = $ac_status" >&5 + echo "$as_me:16263: \$? = $ac_status" >&5 (exit $ac_status); }; then - test -n "$verbose" && echo "$as_me:16176: result: ... -$cf_opt" >&5 + test -n "$verbose" && echo "$as_me:16265: result: ... -$cf_opt" >&5 echo "${ECHO_T}... -$cf_opt" >&6 EXTRA_CFLAGS="$EXTRA_CFLAGS -$cf_opt" fi @@ -16182,7 +16271,7 @@ elif test "$GCC" = yes then - { echo "$as_me:16185: checking for $CC warning options..." >&5 + { echo "$as_me:16274: checking for $CC warning options..." >&5 echo "$as_me: checking for $CC warning options..." >&6;} cf_save_CFLAGS="$CFLAGS" EXTRA_CFLAGS="-W -Wall" @@ -16202,12 +16291,12 @@ Wundef $cf_warn_CONST do CFLAGS="$cf_save_CFLAGS $EXTRA_CFLAGS -$cf_opt" - if { (eval echo "$as_me:16205: \"$ac_compile\"") >&5 + if { (eval echo "$as_me:16294: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:16208: \$? = $ac_status" >&5 + echo "$as_me:16297: \$? = $ac_status" >&5 (exit $ac_status); }; then - test -n "$verbose" && echo "$as_me:16210: result: ... -$cf_opt" >&5 + test -n "$verbose" && echo "$as_me:16299: result: ... -$cf_opt" >&5 echo "${ECHO_T}... -$cf_opt" >&6 case $cf_opt in #(vi Wcast-qual) #(vi @@ -16257,7 +16346,7 @@ ac_tr_func=`echo "$ac_func" | sed y%abcdefghijklmnopqrstuvwxyz./-%ABCDEFGHIJKLMNOPQRSTUVWXYZ___%` -echo "$as_me:16260: checking for missing \"${ac_func}\" extern" >&5 +echo "$as_me:16349: checking for missing \"${ac_func}\" extern" >&5 echo $ECHO_N "checking for missing \"${ac_func}\" extern... $ECHO_C" >&6 if eval "test \"\${cf_cv_func_${ac_func}+set}\" = set"; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -16266,7 +16355,7 @@ cf_save_CPPFLAGS="$CPPFLAGS" CPPFLAGS="$CPPFLAGS $CHECK_DECL_FLAG" cat >conftest.$ac_ext <<_ACEOF -#line 16269 "configure" +#line 16358 "configure" #include "confdefs.h" $CHECK_DECL_HDRS @@ -16288,16 +16377,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:16291: \"$ac_link\"") >&5 +if { (eval echo "$as_me:16380: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:16294: \$? = $ac_status" >&5 + echo "$as_me:16383: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:16297: \"$ac_try\"") >&5 + { (eval echo "$as_me:16386: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:16300: \$? = $ac_status" >&5 + echo "$as_me:16389: \$? = $ac_status" >&5 (exit $ac_status); }; }; then eval 'cf_cv_func_'${ac_func}'=yes' else @@ -16311,7 +16400,7 @@ fi eval 'cf_result=$cf_cv_func_'${ac_func} -echo "$as_me:16314: result: $cf_result" >&5 +echo "$as_me:16403: result: $cf_result" >&5 echo "${ECHO_T}$cf_result" >&6 test $cf_result = yes && cat >>confdefs.h <<EOF #define MISSING_EXTERN_${ac_tr_func} 1 @@ -16325,7 +16414,7 @@ #include <tcap.h> " -echo "$as_me:16328: checking for term.h" >&5 +echo "$as_me:16417: checking for term.h" >&5 echo $ECHO_N "checking for term.h... $ECHO_C" >&6 if test "${cf_cv_term_header+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -16338,7 +16427,7 @@ term.h do cat >conftest.$ac_ext <<_ACEOF -#line 16341 "configure" +#line 16430 "configure" #include "confdefs.h" #include <${cf_cv_ncurses_header-curses.h}> @@ -16352,16 +16441,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:16355: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:16444: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:16358: \$? = $ac_status" >&5 + echo "$as_me:16447: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:16361: \"$ac_try\"") >&5 + { (eval echo "$as_me:16450: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:16364: \$? = $ac_status" >&5 + echo "$as_me:16453: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_term_header=$cf_header break @@ -16374,7 +16463,7 @@ done fi -echo "$as_me:16377: result: $cf_cv_term_header" >&5 +echo "$as_me:16466: result: $cf_cv_term_header" >&5 echo "${ECHO_T}$cf_cv_term_header" >&6 case $cf_cv_term_header in #(vi @@ -16398,7 +16487,7 @@ ;; esac -echo "$as_me:16401: checking if we should include curses.h or termcap.h" >&5 +echo "$as_me:16490: checking if we should include curses.h or termcap.h" >&5 echo $ECHO_N "checking if we should include curses.h or termcap.h... $ECHO_C" >&6 if test "${cf_cv_need_curses_h+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -16417,7 +16506,7 @@ test -n "$cf_t_opts" && CPPFLAGS="$CPPFLAGS -D$cf_t_opts" cat >conftest.$ac_ext <<_ACEOF -#line 16420 "configure" +#line 16509 "configure" #include "confdefs.h" /* $cf_c_opts $cf_t_opts */ $CHECK_DECL_HDRS @@ -16430,16 +16519,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:16433: \"$ac_link\"") >&5 +if { (eval echo "$as_me:16522: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:16436: \$? = $ac_status" >&5 + echo "$as_me:16525: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:16439: \"$ac_try\"") >&5 + { (eval echo "$as_me:16528: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:16442: \$? = $ac_status" >&5 + echo "$as_me:16531: \$? = $ac_status" >&5 (exit $ac_status); }; }; then test "$cf_cv_need_curses_h" = no && { cf_cv_need_curses_h=maybe @@ -16451,7 +16540,7 @@ cat conftest.$ac_ext >&5 echo "Recompiling with corrected call (C:$cf_c_opts, T:$cf_t_opts)" >&5 cat >conftest.$ac_ext <<_ACEOF -#line 16454 "configure" +#line 16543 "configure" #include "confdefs.h" $CHECK_DECL_HDRS @@ -16464,16 +16553,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:16467: \"$ac_link\"") >&5 +if { (eval echo "$as_me:16556: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:16470: \$? = $ac_status" >&5 + echo "$as_me:16559: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:16473: \"$ac_try\"") >&5 + { (eval echo "$as_me:16562: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:16476: \$? = $ac_status" >&5 + echo "$as_me:16565: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_need_curses_h=yes cf_ok_c_opts=$cf_c_opts @@ -16510,7 +16599,7 @@ fi fi -echo "$as_me:16513: result: $cf_cv_need_curses_h" >&5 +echo "$as_me:16602: result: $cf_cv_need_curses_h" >&5 echo "${ECHO_T}$cf_cv_need_curses_h" >&6 case $cf_cv_need_curses_h in @@ -16544,7 +16633,7 @@ ;; esac -echo "$as_me:16547: checking declaration of tputs 3rd param" >&5 +echo "$as_me:16636: checking declaration of tputs 3rd param" >&5 echo $ECHO_N "checking declaration of tputs 3rd param... $ECHO_C" >&6 if test "${cf_cv_type_outchar+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -16560,10 +16649,10 @@ for R in int char; do for S in "" const; do -echo "(line 16563) testing loop variables P:$P, Q:$Q, R:$R, S:$S ..." 1>&5 +echo "(line 16652) testing loop variables P:$P, Q:$Q, R:$R, S:$S ..." 1>&5 cat >conftest.$ac_ext <<_ACEOF -#line 16566 "configure" +#line 16655 "configure" #include "confdefs.h" $CHECK_DECL_HDRS int @@ -16577,16 +16666,16 @@ } _ACEOF rm -f conftest.$ac_objext -if { (eval echo "$as_me:16580: \"$ac_compile\"") >&5 +if { (eval echo "$as_me:16669: \"$ac_compile\"") >&5 (eval $ac_compile) 2>&5 ac_status=$? - echo "$as_me:16583: \$? = $ac_status" >&5 + echo "$as_me:16672: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest.$ac_objext' - { (eval echo "$as_me:16586: \"$ac_try\"") >&5 + { (eval echo "$as_me:16675: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:16589: \$? = $ac_status" >&5 + echo "$as_me:16678: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_cv_type_outchar="$Q OutChar($R)" cf_cv_found=yes @@ -16605,7 +16694,7 @@ done fi -echo "$as_me:16608: result: $cf_cv_type_outchar" >&5 +echo "$as_me:16697: result: $cf_cv_type_outchar" >&5 echo "${ECHO_T}$cf_cv_type_outchar" >&6 case $cf_cv_type_outchar in @@ -16637,7 +16726,7 @@ ac_tr_func=`echo "$ac_func" | sed y%abcdefghijklmnopqrstuvwxyz./-%ABCDEFGHIJKLMNOPQRSTUVWXYZ___%` -echo "$as_me:16640: checking for missing \"${ac_func}\" extern" >&5 +echo "$as_me:16729: checking for missing \"${ac_func}\" extern" >&5 echo $ECHO_N "checking for missing \"${ac_func}\" extern... $ECHO_C" >&6 if eval "test \"\${cf_cv_func_${ac_func}+set}\" = set"; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -16646,7 +16735,7 @@ cf_save_CPPFLAGS="$CPPFLAGS" CPPFLAGS="$CPPFLAGS $CHECK_DECL_FLAG" cat >conftest.$ac_ext <<_ACEOF -#line 16649 "configure" +#line 16738 "configure" #include "confdefs.h" $CHECK_DECL_HDRS @@ -16668,16 +16757,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:16671: \"$ac_link\"") >&5 +if { (eval echo "$as_me:16760: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:16674: \$? = $ac_status" >&5 + echo "$as_me:16763: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:16677: \"$ac_try\"") >&5 + { (eval echo "$as_me:16766: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:16680: \$? = $ac_status" >&5 + echo "$as_me:16769: \$? = $ac_status" >&5 (exit $ac_status); }; }; then eval 'cf_cv_func_'${ac_func}'=yes' else @@ -16691,7 +16780,7 @@ fi eval 'cf_result=$cf_cv_func_'${ac_func} -echo "$as_me:16694: result: $cf_result" >&5 +echo "$as_me:16783: result: $cf_result" >&5 echo "${ECHO_T}$cf_result" >&6 test $cf_result = yes && cat >>confdefs.h <<EOF #define MISSING_EXTERN_${ac_tr_func} 1 @@ -16767,7 +16856,7 @@ ac_tr_func=`echo "$ac_func" | sed y%abcdefghijklmnopqrstuvwxyz./-%ABCDEFGHIJKLMNOPQRSTUVWXYZ___%` -echo "$as_me:16770: checking for missing \"${ac_func}\" extern" >&5 +echo "$as_me:16859: checking for missing \"${ac_func}\" extern" >&5 echo $ECHO_N "checking for missing \"${ac_func}\" extern... $ECHO_C" >&6 if eval "test \"\${cf_cv_func_${ac_func}+set}\" = set"; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -16776,7 +16865,7 @@ cf_save_CPPFLAGS="$CPPFLAGS" CPPFLAGS="$CPPFLAGS $CHECK_DECL_FLAG" cat >conftest.$ac_ext <<_ACEOF -#line 16779 "configure" +#line 16868 "configure" #include "confdefs.h" $CHECK_DECL_HDRS @@ -16798,16 +16887,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:16801: \"$ac_link\"") >&5 +if { (eval echo "$as_me:16890: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:16804: \$? = $ac_status" >&5 + echo "$as_me:16893: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:16807: \"$ac_try\"") >&5 + { (eval echo "$as_me:16896: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:16810: \$? = $ac_status" >&5 + echo "$as_me:16899: \$? = $ac_status" >&5 (exit $ac_status); }; }; then eval 'cf_cv_func_'${ac_func}'=yes' else @@ -16821,7 +16910,7 @@ fi eval 'cf_result=$cf_cv_func_'${ac_func} -echo "$as_me:16824: result: $cf_result" >&5 +echo "$as_me:16913: result: $cf_result" >&5 echo "${ECHO_T}$cf_result" >&6 test $cf_result = yes && cat >>confdefs.h <<EOF #define MISSING_EXTERN_${ac_tr_func} 1 @@ -16841,7 +16930,7 @@ ac_tr_func=`echo "$ac_func" | sed y%abcdefghijklmnopqrstuvwxyz./-%ABCDEFGHIJKLMNOPQRSTUVWXYZ___%` -echo "$as_me:16844: checking for missing \"${ac_func}\" extern" >&5 +echo "$as_me:16933: checking for missing \"${ac_func}\" extern" >&5 echo $ECHO_N "checking for missing \"${ac_func}\" extern... $ECHO_C" >&6 if eval "test \"\${cf_cv_func_${ac_func}+set}\" = set"; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -16850,7 +16939,7 @@ cf_save_CPPFLAGS="$CPPFLAGS" CPPFLAGS="$CPPFLAGS $CHECK_DECL_FLAG" cat >conftest.$ac_ext <<_ACEOF -#line 16853 "configure" +#line 16942 "configure" #include "confdefs.h" $CHECK_DECL_HDRS @@ -16872,16 +16961,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:16875: \"$ac_link\"") >&5 +if { (eval echo "$as_me:16964: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:16878: \$? = $ac_status" >&5 + echo "$as_me:16967: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:16881: \"$ac_try\"") >&5 + { (eval echo "$as_me:16970: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:16884: \$? = $ac_status" >&5 + echo "$as_me:16973: \$? = $ac_status" >&5 (exit $ac_status); }; }; then eval 'cf_cv_func_'${ac_func}'=yes' else @@ -16895,7 +16984,7 @@ fi eval 'cf_result=$cf_cv_func_'${ac_func} -echo "$as_me:16898: result: $cf_result" >&5 +echo "$as_me:16987: result: $cf_result" >&5 echo "${ECHO_T}$cf_result" >&6 test $cf_result = yes && cat >>confdefs.h <<EOF #define MISSING_EXTERN_${ac_tr_func} 1 @@ -16952,13 +17041,13 @@ if test "$cf_need_libdl" = yes ; then cf_have_dlsym=no -echo "$as_me:16955: checking for dlsym" >&5 +echo "$as_me:17044: checking for dlsym" >&5 echo $ECHO_N "checking for dlsym... $ECHO_C" >&6 if test "${ac_cv_func_dlsym+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 else cat >conftest.$ac_ext <<_ACEOF -#line 16961 "configure" +#line 17050 "configure" #include "confdefs.h" /* System header to define __stub macros and hopefully few prototypes, which can conflict with char dlsym (); below. */ @@ -16989,16 +17078,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:16992: \"$ac_link\"") >&5 +if { (eval echo "$as_me:17081: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:16995: \$? = $ac_status" >&5 + echo "$as_me:17084: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:16998: \"$ac_try\"") >&5 + { (eval echo "$as_me:17087: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:17001: \$? = $ac_status" >&5 + echo "$as_me:17090: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_func_dlsym=yes else @@ -17008,14 +17097,14 @@ fi rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext fi -echo "$as_me:17011: result: $ac_cv_func_dlsym" >&5 +echo "$as_me:17100: result: $ac_cv_func_dlsym" >&5 echo "${ECHO_T}$ac_cv_func_dlsym" >&6 if test $ac_cv_func_dlsym = yes; then cf_have_dlsym=yes else cf_have_libdl=no -echo "$as_me:17018: checking for dlsym in -ldl" >&5 +echo "$as_me:17107: checking for dlsym in -ldl" >&5 echo $ECHO_N "checking for dlsym in -ldl... $ECHO_C" >&6 if test "${ac_cv_lib_dl_dlsym+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -17023,7 +17112,7 @@ ac_check_lib_save_LIBS=$LIBS LIBS="-ldl $LIBS" cat >conftest.$ac_ext <<_ACEOF -#line 17026 "configure" +#line 17115 "configure" #include "confdefs.h" /* Override any gcc2 internal prototype to avoid an error. */ @@ -17042,16 +17131,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:17045: \"$ac_link\"") >&5 +if { (eval echo "$as_me:17134: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:17048: \$? = $ac_status" >&5 + echo "$as_me:17137: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:17051: \"$ac_try\"") >&5 + { (eval echo "$as_me:17140: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:17054: \$? = $ac_status" >&5 + echo "$as_me:17143: \$? = $ac_status" >&5 (exit $ac_status); }; }; then ac_cv_lib_dl_dlsym=yes else @@ -17062,7 +17151,7 @@ rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext LIBS=$ac_check_lib_save_LIBS fi -echo "$as_me:17065: result: $ac_cv_lib_dl_dlsym" >&5 +echo "$as_me:17154: result: $ac_cv_lib_dl_dlsym" >&5 echo "${ECHO_T}$ac_cv_lib_dl_dlsym" >&6 if test $ac_cv_lib_dl_dlsym = yes; then @@ -17075,10 +17164,10 @@ if test "$cf_have_dlsym" = yes ; then test "$cf_have_libdl" = yes && LIBS="-ldl $LIBS" - echo "$as_me:17078: checking whether able to link to dl*() functions" >&5 + echo "$as_me:17167: checking whether able to link to dl*() functions" >&5 echo $ECHO_N "checking whether able to link to dl*() functions... $ECHO_C" >&6 cat >conftest.$ac_ext <<_ACEOF -#line 17081 "configure" +#line 17170 "configure" #include "confdefs.h" #include <dlfcn.h> int @@ -17096,16 +17185,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:17099: \"$ac_link\"") >&5 +if { (eval echo "$as_me:17188: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:17102: \$? = $ac_status" >&5 + echo "$as_me:17191: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:17105: \"$ac_try\"") >&5 + { (eval echo "$as_me:17194: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:17108: \$? = $ac_status" >&5 + echo "$as_me:17197: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cat >>confdefs.h <<\EOF @@ -17116,15 +17205,15 @@ echo "$as_me: failed program was:" >&5 cat conftest.$ac_ext >&5 - { { echo "$as_me:17119: error: Cannot link test program for libdl" >&5 + { { echo "$as_me:17208: error: Cannot link test program for libdl" >&5 echo "$as_me: error: Cannot link test program for libdl" >&2;} { (exit 1); exit 1; }; } fi rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext - echo "$as_me:17124: result: ok" >&5 + echo "$as_me:17213: result: ok" >&5 echo "${ECHO_T}ok" >&6 else - { { echo "$as_me:17127: error: Cannot find dlsym function" >&5 + { { echo "$as_me:17216: error: Cannot find dlsym function" >&5 echo "$as_me: error: Cannot find dlsym function" >&2;} { (exit 1); exit 1; }; } fi @@ -17139,10 +17228,10 @@ cf_opt_rdynamic=no cf_save_CFLAGS="$CFLAGS" CFLAGS="-Wall -rdynamic $CFLAGS" - echo "$as_me:17142: checking if gcc has -rdynamic option" >&5 + echo "$as_me:17231: checking if gcc has -rdynamic option" >&5 echo $ECHO_N "checking if gcc has -rdynamic option... $ECHO_C" >&6 cat >conftest.$ac_ext <<_ACEOF -#line 17145 "configure" +#line 17234 "configure" #include "confdefs.h" #include <stdio.h> int @@ -17154,16 +17243,16 @@ } _ACEOF rm -f conftest.$ac_objext conftest$ac_exeext -if { (eval echo "$as_me:17157: \"$ac_link\"") >&5 +if { (eval echo "$as_me:17246: \"$ac_link\"") >&5 (eval $ac_link) 2>&5 ac_status=$? - echo "$as_me:17160: \$? = $ac_status" >&5 + echo "$as_me:17249: \$? = $ac_status" >&5 (exit $ac_status); } && { ac_try='test -s conftest$ac_exeext' - { (eval echo "$as_me:17163: \"$ac_try\"") >&5 + { (eval echo "$as_me:17252: \"$ac_try\"") >&5 (eval $ac_try) 2>&5 ac_status=$? - echo "$as_me:17166: \$? = $ac_status" >&5 + echo "$as_me:17255: \$? = $ac_status" >&5 (exit $ac_status); }; }; then cf_opt_rdynamic=yes else @@ -17172,7 +17261,7 @@ cf_opt_rdynamic=no fi rm -f conftest.$ac_objext conftest$ac_exeext conftest.$ac_ext - echo "$as_me:17175: result: $cf_opt_rdynamic" >&5 + echo "$as_me:17264: result: $cf_opt_rdynamic" >&5 echo "${ECHO_T}$cf_opt_rdynamic" >&6 test "$cf_opt_rdynamic" = no && CFLAGS="$cf_save_CFLAGS" @@ -17189,7 +17278,7 @@ do # Extract the first word of "$ac_prog", so it can be a program name with args. set dummy $ac_prog; ac_word=$2 -echo "$as_me:17192: checking for $ac_word" >&5 +echo "$as_me:17281: checking for $ac_word" >&5 echo $ECHO_N "checking for $ac_word... $ECHO_C" >&6 if test "${ac_cv_prog_SPELL_PROG+set}" = set; then echo $ECHO_N "(cached) $ECHO_C" >&6 @@ -17204,7 +17293,7 @@ test -z "$ac_dir" && ac_dir=. $as_executable_p "$ac_dir/$ac_word" || continue ac_cv_prog_SPELL_PROG="$ac_prog" -echo "$as_me:17207: found $ac_dir/$ac_word" >&5 +echo "$as_me:17296: found $ac_dir/$ac_word" >&5 break done @@ -17212,10 +17301,10 @@ fi SPELL_PROG=$ac_cv_prog_SPELL_PROG if test -n "$SPELL_PROG"; then - echo "$as_me:17215: result: $SPELL_PROG" >&5 + echo "$as_me:17304: result: $SPELL_PROG" >&5 echo "${ECHO_T}$SPELL_PROG" >&6 else - echo "$as_me:17218: result: no" >&5 + echo "$as_me:17307: result: no" >&5 echo "${ECHO_T}no" >&6 fi @@ -17318,7 +17407,7 @@ : ${CONFIG_STATUS=./config.status} ac_clean_files_save=$ac_clean_files ac_clean_files="$ac_clean_files $CONFIG_STATUS" -{ echo "$as_me:17321: creating $CONFIG_STATUS" >&5 +{ echo "$as_me:17410: creating $CONFIG_STATUS" >&5 echo "$as_me: creating $CONFIG_STATUS" >&6;} cat >$CONFIG_STATUS <<_ACEOF #! $SHELL @@ -17494,7 +17583,7 @@ echo "$ac_cs_version"; exit 0 ;; --he | --h) # Conflict between --help and --header - { { echo "$as_me:17497: error: ambiguous option: $1 + { { echo "$as_me:17586: error: ambiguous option: $1 Try \`$0 --help' for more information." >&5 echo "$as_me: error: ambiguous option: $1 Try \`$0 --help' for more information." >&2;} @@ -17513,7 +17602,7 @@ ac_need_defaults=false;; # This is an error. - -*) { { echo "$as_me:17516: error: unrecognized option: $1 + -*) { { echo "$as_me:17605: error: unrecognized option: $1 Try \`$0 --help' for more information." >&5 echo "$as_me: error: unrecognized option: $1 Try \`$0 --help' for more information." >&2;} @@ -17571,7 +17660,7 @@ "filters/makefile.tmp" ) CONFIG_FILES="$CONFIG_FILES filters/makefile.tmp:filters/makefile.2nd" ;; "default" ) CONFIG_COMMANDS="$CONFIG_COMMANDS default" ;; "config.h" ) CONFIG_HEADERS="$CONFIG_HEADERS config.h:config_h.in" ;; - *) { { echo "$as_me:17574: error: invalid argument: $ac_config_target" >&5 + *) { { echo "$as_me:17663: error: invalid argument: $ac_config_target" >&5 echo "$as_me: error: invalid argument: $ac_config_target" >&2;} { (exit 1); exit 1; }; };; esac @@ -17696,6 +17785,7 @@ s,@VILE_LOADABLE_FILTERS@,$VILE_LOADABLE_FILTERS,;t t s,@VILE_LIBDIR_PATH@,$VILE_LIBDIR_PATH,;t t s,@VILE_STARTUP_PATH@,$VILE_STARTUP_PATH,;t t +s,@LEX_CHAR_CLASSES@,$LEX_CHAR_CLASSES,;t t s,@MAKE_LEX@,$MAKE_LEX,;t t s,@PERL@,$PERL,;t t s,@perl_lib_path@,$perl_lib_path,;t t @@ -17839,7 +17929,7 @@ esac if test x"$ac_file" != x-; then - { echo "$as_me:17842: creating $ac_file" >&5 + { echo "$as_me:17932: creating $ac_file" >&5 echo "$as_me: creating $ac_file" >&6;} rm -f "$ac_file" fi @@ -17857,7 +17947,7 @@ -) echo $tmp/stdin ;; [\\/$]*) # Absolute (can't be DOS-style, as IFS=:) - test -f "$f" || { { echo "$as_me:17860: error: cannot find input file: $f" >&5 + test -f "$f" || { { echo "$as_me:17950: error: cannot find input file: $f" >&5 echo "$as_me: error: cannot find input file: $f" >&2;} { (exit 1); exit 1; }; } echo $f;; @@ -17870,7 +17960,7 @@ echo $srcdir/$f else # /dev/null tree - { { echo "$as_me:17873: error: cannot find input file: $f" >&5 + { { echo "$as_me:17963: error: cannot find input file: $f" >&5 echo "$as_me: error: cannot find input file: $f" >&2;} { (exit 1); exit 1; }; } fi;; @@ -17936,7 +18026,7 @@ * ) ac_file_in=$ac_file.in ;; esac - test x"$ac_file" != x- && { echo "$as_me:17939: creating $ac_file" >&5 + test x"$ac_file" != x- && { echo "$as_me:18029: creating $ac_file" >&5 echo "$as_me: creating $ac_file" >&6;} # First look for the input files in the build tree, otherwise in the @@ -17947,7 +18037,7 @@ -) echo $tmp/stdin ;; [\\/$]*) # Absolute (can't be DOS-style, as IFS=:) - test -f "$f" || { { echo "$as_me:17950: error: cannot find input file: $f" >&5 + test -f "$f" || { { echo "$as_me:18040: error: cannot find input file: $f" >&5 echo "$as_me: error: cannot find input file: $f" >&2;} { (exit 1); exit 1; }; } echo $f;; @@ -17960,7 +18050,7 @@ echo $srcdir/$f else # /dev/null tree - { { echo "$as_me:17963: error: cannot find input file: $f" >&5 + { { echo "$as_me:18053: error: cannot find input file: $f" >&5 echo "$as_me: error: cannot find input file: $f" >&2;} { (exit 1); exit 1; }; } fi;; @@ -18018,7 +18108,7 @@ rm -f $tmp/in if test x"$ac_file" != x-; then if cmp -s $ac_file $tmp/config.h 2>/dev/null; then - { echo "$as_me:18021: $ac_file is unchanged" >&5 + { echo "$as_me:18111: $ac_file is unchanged" >&5 echo "$as_me: $ac_file is unchanged" >&6;} else ac_dir=`$as_expr X"$ac_file" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \ Index: configure.in Prereq: 1.219 --- vile-9.5+/configure.in 2005-05-22 16:39:54.000000000 +0000 +++ vile-9.5a/configure.in 2005-09-04 20:10:20.000000000 +0000 @@ -1,12 +1,12 @@ dnl Process this file with autoconf to produce a configure script. -AC_REVISION($Revision: 1.219 $) +AC_REVISION($Revision: 1.220 $) AC_PREREQ(2.13.20030927) rm -f config.cache ### Use "configure -with-screen" to override the default configuration, which is ### termcap-based on unix systems. -dnl $Header: /usr/build/vile/vile/RCS/configure.in,v 1.219 2005/05/22 16:39:54 tom Exp $ +dnl $Header: /usr/build/vile/vile/RCS/configure.in,v 1.220 2005/09/04 20:10:20 tom Exp $ define(MAKELIST, sh $srcdir/filters/makelist.sh $srcdir/filters/genmake.mak) @@ -275,8 +275,15 @@ "\[$](datadir)",[],[define]) AC_MSG_RESULT($VILE_STARTUP_PATH) +CF_LEX_CHAR_CLASSES CF_LEX_STATES +if test "$LEX_CHAR_CLASSES" = yes ; then + LEX_CHAR_CLASSES= +else + LEX_CHAR_CLASSES='sh $(srcdir)/noclass.sh ' +fi + AC_MSG_CHECKING(if you want to use perl as an extension language) AC_ARG_WITH(perl, [ --with-perl enable use of Perl as an extension language], Index: doc/filters.doc Prereq: 1.34 --- vile-9.5+/doc/filters.doc 2005-05-10 22:53:08.000000000 +0000 +++ vile-9.5a/doc/filters.doc 2005-09-05 01:00:58.000000000 +0000 @@ -190,8 +190,10 @@ vile-awk-filt (awk) vile-basic-filt (BASIC) vile-bat-filt (DOS batchfiles) + vile-bnf-filt (BNF) vile-c-filt (C language) vile-cfg-filt (Lynx configure file) + vile-css-filt (Cascading Style Sheets) vile-cweb-filt (CWEBx) vile-dcl-filt (VMS DCL batchfiles) vile-def-filt (Windows linker definition files) @@ -211,10 +213,12 @@ vile-mail-filt (mail folders) vile-make-filt (make) vile-manfilt (manual-page) + vile-midl-filt (Microsoft Interface Definition Language) vile-mms-filt (VMS makefiles) vile-nr-filt (nroff) vile-pas-filt (Pascal) vile-perl-filt (Perl, in C) + vile-php-filt (PHP) vile-pl-filt (Perl, in lex) vile-pot-filt (gettext (.po) files) vile-ps-filt (PostScript) @@ -239,6 +243,7 @@ vile-txt-filt (plain text files, such as vile.hlp) vile-vile-filt (Vile's macros) vile-vlog-filt (Verilog) + vile-wbt-filt (WinBatch) vile-xml-filt (XML) vile-xres-filt (X Window resources) vile-xs-filt (Perl extension) @@ -265,4 +270,4 @@ The lex filters have been well tested only with flex, which treats newlines differently. Older versions of lex may not support the %x states. --- $Header: /usr/build/vile/vile/doc/RCS/filters.doc,v 1.34 2005/05/10 22:53:08 tom Exp $ +-- $Header: /usr/build/vile/vile/doc/RCS/filters.doc,v 1.35 2005/09/05 01:00:58 tom Exp $ Index: file.c Prereq: 1.383 --- vile-9.5+/file.c 2005-07-10 00:45:47.000000000 +0000 +++ vile-9.5a/file.c 2005-09-04 18:09:22.000000000 +0000 @@ -5,7 +5,7 @@ * reading and writing of the disk are * in "fileio.c". * - * $Header: /usr/build/vile/vile/RCS/file.c,v 1.383 2005/07/10 00:45:47 tom Exp $ + * $Header: /usr/build/vile/vile/RCS/file.c,v 1.385 2005/09/04 18:09:22 tom Exp $ */ #include "estruct.h" @@ -1156,6 +1156,7 @@ chg_buff(bp, WFHARD); else set_winflags(TRUE, WFHARD); + b_clr_counted(bp); } } } @@ -1496,7 +1497,6 @@ set_record_sep(bp, rscode); strip_if_dosmode(bp); - b_clr_counted(bp); rc = FIOSUC; } } @@ -1537,7 +1537,7 @@ if (*fname == EOS) { TRACE(("...called with NULL fname\n")); - returnCode(FALSE); /* we do not want to do that */ + returnCode(TRUE); /* we do not want to do that */ } if ((s = bclear(bp)) != TRUE) /* Might be old. */ Index: filters/ada-filt.l Prereq: 1.11 --- vile-9.5+/filters/ada-filt.l 2005-07-11 22:30:54.000000000 +0000 +++ vile-9.5a/filters/ada-filt.l 2005-09-04 21:10:12.000000000 +0000 @@ -1,6 +1,6 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/ada-filt.l,v 1.11 2005/07/11 22:30:54 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/ada-filt.l,v 1.12 2005/09/04 21:10:12 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of Ada * input text. @@ -17,9 +17,9 @@ %} -INTEGER [-+]?([0-9]+) -REAL [-+]?([0-9]*\.[0-9]+)([eE][+-]?[0-9]+)? -IDENT [a-zA-Z_][a-zA-Z_0-9]* +INTEGER [-+]?([[:digit:]]+) +REAL [-+]?([[:digit:]]*\.[[:digit:]]+)([eE][+-]?[[:digit:]]+)? +IDENT [[:alpha:]_][[:alnum:]_]* QUOTECHAR \'([^']|(''))\' STRING \"([^"]|(""))*\" Index: filters/as-filt.l Prereq: 1.3 --- vile-9.5+/filters/as-filt.l 2004-12-10 00:16:00.000000000 +0000 +++ vile-9.5a/filters/as-filt.l 2005-09-04 21:10:12.000000000 +0000 @@ -2,7 +2,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/as-filt.l,v 1.3 2004/12/10 00:16:00 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/as-filt.l,v 1.4 2005/09/04 21:10:12 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of assembler files. */ @@ -25,16 +25,16 @@ SSTRING \'([^']|\n)*\' -NAME [a-zA-Z0-9_.$] +NAME [[:alnum:]_.$] -IDENT [a-zA-Z_.]{NAME}* +IDENT [[:alpha:]_.]{NAME}* BINARY 0[bB][0-1]+ -DECIMAL [0-9]+ -HEX 0[xX][0-9a-fA-F]+ +DECIMAL [[:digit:]]+ +HEX 0[xX][[:xdigit:]]+ INTEGER ({BINARY}|{DECIMAL}|{HEX}) -FORMAT "%"[.*0-9l#+-]*[cdeEfFgGisuxX] +FORMAT "%"[.*[:digit:]l#+-]*[cdeEfFgGisuxX] %% Index: filters/asm-filt.l Prereq: 1.3 --- vile-9.5+/filters/asm-filt.l 2004-12-10 00:16:37.000000000 +0000 +++ vile-9.5a/filters/asm-filt.l 2005-09-04 21:10:12.000000000 +0000 @@ -2,7 +2,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/asm-filt.l,v 1.3 2004/12/10 00:16:37 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/asm-filt.l,v 1.4 2005/09/04 21:10:12 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of M$ assembler files. */ @@ -28,18 +28,18 @@ DSTRING \"([^"\n]|"")*\" STRING {SSTRING}|{DSTRING} -NAME [a-zA-Z0-9_$?@] +NAME [[:alnum:]_$?@] -IDENT [a-zA-Z_.]{NAME}* +IDENT [[:alpha:]_.]{NAME}* BINARY [0-1]+[bB] -DECIMAL [0-9]+ -HEX [0-9a-fA-F]+[hH] -FLOAT [0-9a-fA-F]+[rR] -REAL [-+]?([0-9]*\.[0-9]+)([eE][+-]?[0-9]+)? +DECIMAL [[:digit:]]+ +HEX [[:xdigit:]]+[hH] +FLOAT [[:xdigit:]]+[rR] +REAL [-+]?([[:digit:]]*\.[[:digit:]]+)([eE][+-]?[[:digit:]]+)? NUMBER ({HEX}|{FLOAT}|{BINARY}|{REAL}|{DECIMAL}) -FORMAT "%"[.*0-9l#+-]*[cdeEfFgGisuxX] +FORMAT "%"[.*[:digit:]l#+-]*[cdeEfFgGisuxX] %% @@ -52,7 +52,7 @@ <NORMAL>";"[^\n]* { WriteToken(Comment_attr); } <NORMAL>{NUMBER} { WriteToken(Number_attr); } -<NORMAL>[0-9]{NUMBER}{NAME}+ { +<NORMAL>[[:digit:]]{NUMBER}{NAME}+ { flt_error("not a number"); WriteToken(Error_attr); } Index: filters/au3-filt.l Prereq: 1.3 --- vile-9.5+/filters/au3-filt.l 2004-12-10 00:17:13.000000000 +0000 +++ vile-9.5a/filters/au3-filt.l 2005-09-04 21:10:12.000000000 +0000 @@ -2,7 +2,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/au3-filt.l,v 1.3 2004/12/10 00:17:13 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/au3-filt.l,v 1.4 2005/09/04 21:10:12 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of AutoIt * input text. @@ -25,11 +25,11 @@ %} -HEX 0[Xx][A-Fa-f0-9]+ -INTEGER [-+]?([0-9]+) -REAL [-+]?([0-9]*\.[0-9]+)([eE][+-]?[0-9]+)? +HEX 0[Xx][[:xdigit:]]+ +INTEGER [-+]?([[:digit:]]+) +REAL [-+]?([[:digit:]]*\.[[:digit:]]+)([eE][+-]?[[:digit:]]+)? -IDENT ([$@])?[a-zA-Z_][a-zA-Z_0-9]* +IDENT ([$@])?[[:alpha:]_][[:alnum:]_]* SSTRING \'([^'\n]|'')*\' DSTRING \"([^"\n]|"")*\" Index: filters/awk-filt.l Prereq: 1.14 --- vile-9.5+/filters/awk-filt.l 2003-05-20 20:38:41.000000000 +0000 +++ vile-9.5a/filters/awk-filt.l 2005-09-04 21:10:12.000000000 +0000 @@ -2,7 +2,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/awk-filt.l,v 1.14 2003/05/20 20:38:41 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/awk-filt.l,v 1.15 2005/09/04 21:10:12 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of awk * input text. @@ -27,12 +27,12 @@ DSTRING \"((\\\")|[^"\n])*\" -KEYWORD [a-zA-Z_][a-zA-Z_0-9]* -IDENT \$[a-zA-Z_0-9]+ +KEYWORD [[:alpha:]_][[:alnum:]_]* +IDENT \$[[:alnum:]_]+ SIGN [-+] -INTEGER {SIGN}?([0-9]+) -REAL {SIGN}?([0-9]*\.[0-9]+)([eE]{SIGN}?[0-9]+)? +INTEGER {SIGN}?([[:digit:]]+) +REAL {SIGN}?([[:digit:]]*\.[[:digit:]]+)([eE]{SIGN}?[[:digit:]]+)? PATTERN "/"(\\.|\[(\\.|.)*\]|[^ \t\n])*"/" Index: filters/bas-filt.l Prereq: 1.8 --- vile-9.5+/filters/bas-filt.l 2004-08-27 16:40:22.000000000 +0000 +++ vile-9.5a/filters/bas-filt.l 2005-09-05 11:04:44.000000000 +0000 @@ -2,7 +2,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/bas-filt.l,v 1.8 2004/08/27 16:40:22 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/bas-filt.l,v 1.10 2005/09/05 11:04:44 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of Basic * input text. @@ -27,13 +27,13 @@ %} TYPES [%&!#$]? -HEX [A-Fa-f0-9]+ +HEX [[:xdigit:]]+ UUID "{"{HEX}(-{HEX})*"}" -INTEGER [-+]?([0-9]+) -REAL [-+]?([0-9]*\.[0-9]+)([eE][+-]?[0-9]+)? -IDENT [a-zA-Z][a-zA-Z_0-9]*{TYPES} +INTEGER [-+]?([[:digit:]]+) +REAL [-+]?([[:digit:]]*\.[[:digit:]]+)([eE][+-]?[[:digit:]]+)? +IDENT [[:alpha:]][[:alnum:]_]*{TYPES} -DATE "#"[ 0-9A-Za-z,:./]+"#" +DATE "#"[ [:alnum:]\,:./]+"#" STRING \"([^"]|(""))*\" NUMBER {INTEGER}|{REAL}|{UUID}|({INTEGER}{HEX}|("&[Hh]"|\$){HEX}){TYPES} Index: filters/bat-filt.l Prereq: 1.18 --- vile-9.5+/filters/bat-filt.l 2004-12-10 00:17:39.000000000 +0000 +++ vile-9.5a/filters/bat-filt.l 2005-09-02 23:27:59.000000000 +0000 @@ -3,7 +3,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/bat-filt.l,v 1.18 2004/12/10 00:17:39 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/bat-filt.l,v 1.21 2005/09/02 23:27:59 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of DOS (and * similar, such as W95, NT) batch file. @@ -29,9 +29,9 @@ TEXT [^\r\n] BLANK [ \t\r] -IDENT [a-zA-Z_.][a-zA-Z_0-9.]* +IDENT [[:alpha:]_.][[:alnum:]_.]* -PARAM %[0-9] +PARAM %[[:digit:]*] VARIABLE %%{IDENT}|%{IDENT}% IDENT2 ({PARAM}|{VARIABLE}) @@ -52,7 +52,15 @@ <INITIAL>^{BLANK}*:{BLANK}*{IDENT} { write_label(yytext, yyleng); } <INITIAL>{IDENT} { char *temp = lowercase_of(yytext); - char *attr = keyword_attr(temp); + char *type = strrchr(temp, '.'); + char *attr; + + /* "echo." is a legal "echo", and the "." + * is not echoed. So we highlight it as + * part of the name. + */ + if (type != 0) + *type = '\0'; if (!strcmp(temp, "echo")) { BEGIN(ECHOING); } else if (!strcmp(temp, "rem")) { @@ -60,7 +68,15 @@ } else if (!strcmp(temp, "set")) { setting = 1; } - WriteToken(attr); + attr = keyword_attr(temp); + if (type != 0) { + int len = (type - temp) + 1; + + flt_puts(yytext, len, attr); + flt_puts(yytext + len, yyleng - len, String_attr); + } else { + flt_puts(yytext, yyleng, attr); + } } <INITIAL>({IDENT2}) { WriteToken(Ident2_attr); } Index: filters/bnf-filt.l --- /dev/null 2005-07-02 10:53:52.000000000 +0000 +++ vile-9.5a/filters/bnf-filt.l 2005-09-02 22:18:08.000000000 +0000 @@ -0,0 +1,55 @@ +%{ +/* + * $Header: /usr/build/vile/vile/filters/RCS/bnf-filt.l,v 1.7 2005/09/02 22:18:08 tom Exp $ + * + * Filter to add vile "attribution" sequences to selected bits of BNF syntax. + */ + +#include <filters.h> + +DefineFilter("bnf"); + +static char *Action_attr; +static char *Comment_attr; +static char *Number_attr; +static char *String_attr; + +%} + +IDENT [@$%&]*[[:alpha:]_][[:alnum:]_-]* + +NUMBER [-+]?([[:digit:]]+|("0"[xX][[:xdigit:]]+)) + +ESCAPE = +BSTRING \'(=.|[^'=])*\' + +%% + +{IDENT} { WriteToken(ci_keyword_attr(yytext)); } +"::=" | +[<>\[\]{}?|;+*/-] { WriteToken(Action_attr); } + +"!"[^\n]* { WriteToken(Comment_attr); } + +{NUMBER} { WriteToken(Number_attr); } +{BSTRING} { WriteToken(String_attr); } + +%% + +static void +init_filter(int before GCC_UNUSED) +{ +} + +static void +do_filter(FILE *inputs) +{ + yyin = inputs; + Action_attr = class_attr(NAME_ACTION); + Comment_attr = class_attr(NAME_COMMENT); + Number_attr = class_attr(NAME_NUMBER); + String_attr = class_attr(NAME_LITERAL); + + while (yylex() > 0) { + } +} Index: filters/bnf.key --- /dev/null 2005-07-02 10:53:52.000000000 +0000 +++ vile-9.5a/filters/bnf.key 2005-08-30 01:18:42.000000000 +0000 @@ -0,0 +1 @@ +: $Id: bnf.key,v 1.1 2005/08/30 01:18:42 tom Exp $ Index: filters/cfg-filt.l Prereq: 1.9 --- vile-9.5+/filters/cfg-filt.l 2005-07-11 22:31:35.000000000 +0000 +++ vile-9.5a/filters/cfg-filt.l 2005-09-04 21:10:12.000000000 +0000 @@ -1,7 +1,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/cfg-filt.l,v 1.9 2005/07/11 22:31:35 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/cfg-filt.l,v 1.10 2005/09/04 21:10:12 tom Exp $ * * Filter to add vile "attribution" sequences to an ordinary Lynx config-file */ @@ -33,20 +33,20 @@ COMMENT ^[ \t]*#[^\n]*\n -KEYWORD ([a-zA-Z_][a-zA-Z0-9_.]*|[A-Z][+/&][A-Z]) +KEYWORD ([[:alpha:]_][[:alnum:]_.]*|[[:upper:]][+/&][[:upper:]]) SIGN [-+] -DECIMAL [0-9_]+ +DECIMAL [[:digit:]_]+ OCTAL 0[0-7_]+ -HEXADECIMAL 0x[0-9a-fA-F_]+ -REAL [-+]?([0-9_]*\.[0-9][0-9_]*)([eE][+-]?[0-9_]+)? +HEXADECIMAL 0x[[:xdigit:]_]+ +REAL [-+]?([[:digit:]_]*\.[[:digit:]][[:digit:]_]*)([eE][+-]?[[:digit:]_]+)? NUMBER {SIGN}?({DECIMAL}|{OCTAL}|{HEXADECIMAL}|{REAL}) -NAME [a-zA-Z0-9_.-]+ +NAME [[:alnum:]_.-]+ ADDRESS ({NAME}|\.)+ EMAIL {NAME}@{ADDRESS} -URL [A-Za-z]+"://"[0-9a-zA-Z%/.~_#?=-]+ +URL [[:alpha:]]+"://"[[:alnum:]%/.~_#?=-]+ %% Index: filters/css-filt.l --- /dev/null 2005-07-02 10:53:52.000000000 +0000 +++ vile-9.5a/filters/css-filt.l 2005-09-03 11:52:59.000000000 +0000 @@ -0,0 +1,170 @@ +%x COMMENT CODE + +%{ +/* + * $Header: /usr/build/vile/vile/filters/RCS/css-filt.l,v 1.10 2005/09/03 11:52:59 tom Exp $ + * + * Filter to add vile "attribution" sequences to Cascading Style Sheets. + */ + +#include <filters.h> + +DefineFilter("css"); + +static void hash_color(char *, int); +static void number_units(char *, int); +static void string_escapes(char *, int); + +static char *Comment_attr; +static char *Error_attr; +static char *Ident2_attr; +static char *Number_attr; +static char *String_attr; + +static int state; + +%} + +NL \n|\r\n|\r|\f +BLANK [[:blank:]]* + +UNICODE \\[[:alnum:]]+ +ESCAPE {UNICODE}|(\\[^\n\r\f[:alnum:]]) + +STRING1 \'({ESCAPE}|\\{NL}|[^\n\r\f\\'])*\' +STRING2 \"({ESCAPE}|\\{NL}|[^\n\r\f\\"])*\" +STRING ({STRING1}|{STRING2}) + +INVALID1 \'({ESCAPE}|\\{NL}|[^\n\r\f\\'])* +INVALID2 \"({ESCAPE}|\\{NL}|[^\n\r\f\\"])* +INVALID ({INVALID1}|{INVALID2}) + +IDENT [[:alpha:]_-][[:alnum:]_-]* +UNITS [[:alpha:]]+ + +HEX [[:xdigit:]] +HASH_COLOR #({HEX})+ + +DECIMAL [[:digit:]]+ +REAL ([[:digit:]]*\.[[:digit:]]+) +NUMBER ({DECIMAL}|{REAL}) + +URL [[:alpha:]]+"://"[[:alnum:]%/.~_#?=-]+ + +%% + +<CODE>"!"{IDENT} | +<CODE>@{IDENT} | +<CODE>{IDENT} { WriteToken(ci_keyword_attr(yytext)); } +<CODE>[;:(){}\[\]] { ECHO; state = yytext[0]; } + +<CODE>"/*" { WriteToken(Comment_attr); BEGIN(COMMENT); } +<COMMENT>[^*]* { WriteToken(Comment_attr); } +<COMMENT>"*"+[^*/]* { WriteToken(Comment_attr); } +<COMMENT>"*"+"/" { WriteToken(Comment_attr); BEGIN(CODE); } + +<CODE>{URL} { WriteToken(String_attr); } +<CODE>{STRING} { string_escapes(yytext, yyleng); } +<CODE>{INVALID} { WriteToken(Error_attr); } + +<CODE>{NUMBER}{UNITS} { number_units(yytext, yyleng); } +<CODE>{HASH_COLOR} { hash_color(yytext, yyleng); } +<CODE>{NUMBER} { WriteToken(Number_attr); } + +%% + +static void +hash_color(char *text, int length) +{ + if (state == ':') { + char *attr = (length == 4 || length == 7) ? Number_attr : Error_attr; + flt_puts(text, length, attr); + } else { + flt_puts(text, length, ci_keyword_attr(text + 1)); + } +} + +static void +number_units(char *text, int length) +{ + int digits = length; + char *attr; + + while (digits > 0) { + if (isdigit(CharOf(text[digits - 1]))) + break; + --digits; + } + + flt_puts(text, digits, Number_attr); + if (digits != length) { + set_symbol_table("cssUnits"); + if ((attr = ci_keyword_attr(text + digits)) == 0) + attr = Error_attr; + flt_puts(text + digits, length - digits, attr); + set_symbol_table(filter_def.filter_name); + } +} + +static void +string_escapes(char *text, int length) +{ + while (length > 0) { + int n; + int found; + for (n = 0, found = -1; n < length; ++n) { + if (text[n] == '\\') { + found = n; + break; + } + } + if (found >= 0) { + if (length > 1) { + flt_puts(text, found, String_attr); + text += found; + length -= found; + if (isxdigit(CharOf(text[1]))) { + for (n = 2, found = 2; n < length; ++n) { + if (isxdigit(CharOf(text[n]))) { + found = n + 1; + } else { + break; + } + } + } else { + found = 2; + } + flt_puts(text, found, Number_attr); + text += found; + length -= found; + } else { + break; + } + } else { + break; + } + } + flt_puts(text, length, String_attr); +} + +static void +init_filter(int before GCC_UNUSED) +{ +} + +static void +do_filter(FILE *inputs) +{ + yyin = inputs; + + Comment_attr = class_attr(NAME_COMMENT); + Error_attr = class_attr(NAME_ERROR); + Ident2_attr = class_attr(NAME_IDENT2); + Number_attr = class_attr(NAME_NUMBER); + String_attr = class_attr(NAME_LITERAL); + + state = -1; + BEGIN(CODE); + while (yylex() > 0) { + } +} Index: filters/css.key --- /dev/null 2005-07-02 10:53:52.000000000 +0000 +++ vile-9.5a/filters/css.key 2005-09-02 00:51:25.000000000 +0000 @@ -0,0 +1,403 @@ +: $Id: css.key,v 1.5 2005/09/02 00:51:25 tom Exp $ +: aural attributes +above +azimuth +behind +below +child +code +continuous +digits +during +elevation +faster +female +higher +leftwards +level +male +mix +non +richness +rightwards +silent +slower +stress +volume +: box-attributes +both +clear +clip +collapse +dashed +dotted +double +float +groove +height +hidden +inset +outset +overflow +ridge +solid +thick +thin +visibility +visible +width +: +margin +margin-top +margin-right +margin-bottom +margin-left +: +padding +padding-top +padding-right +padding-bottom +padding-left +: +border +border-top +border-right +border-bottom +border-left +: +border-top +border-right +border-bottom +border-left +border-width +border-color +border-style +: colors +activeborder +activecaption +appworkspace +buttonface +buttonhighlight +buttonshadow +buttontext +captiontext +graytext +highlight +highlighttext +inactiveborder +inactivecaption +inactivecaptiontext +infobackground +infotext +menu +menutext +scrollbar +threeddarkshadow +threedface +threedhighlight +threedlightshadow +threedshadow +window +windowframe +windowtext +background +: +aqua +black +blue +fuchsia +gray +green +lime +maroon +navy +olive +purple +red +silver +teal +yellow +: color-attributes +background-attachment +background-color +background-image +background-position +background-repeat +: miscellaneous attributes +auto +bottom +center +color +fixed +inherit +medium +none +normal +scroll +top +: font-attributes +all +ascent +baseline +bbox +bold +bolder +centerline +cursive +descent +fantasy +font-adjust +font-family +font-size +font-stretch +font-style +font-variant +font-weight +icon +italic +large +larger +lighter +mathline +menu +monospace +narrower +oblique +slope +smaller +src +stemh +stemv +topline +wider +widths +: content-attributes +armenian +circle +content +disc +display +georgian +hebrew +inside +list-style-image +list-style-position +list-style-type +outside +quotes +square +white-space +: media types +all +aural +braile +embossed +handheld +print +projection +screen +tv +ty +: paging attributes +active +after +always +avoid +before +crop +cross +focus +hover +inside +landscape +left +link +marks +orphans +portrait +right +size +visited +widows +: rendering attributes +absolute +always +bidi-override +block +bottom +collapse +compact +direction +embed +fixed +hide +inline +ltr +nowrap +once +position +pre +relative +rtl +separate +show +static +top +: at-keywords +@import +@page +@media +@charset +!important:Action +: tags +a +abbr +acronym +address +applet +area +b +base +basefont +bdo +big +blockquote +body +br +button +caption +center +cite +code +col +colgroup +dd +del +dfn +dir +div +dl +dt +em +fieldset +font +form +frame +frameset +h1 +h2 +h3 +h4 +h5 +h6 +head +hr +html +i +iframe +img +input +ins +isindex +kbd +label +legend +li +link +map +menu +meta +noframes +noscript +ol +optgroup +option +p +param +pre +q +s +samp +script +select +small +span +strike +strong +style +sub +sup +tbody +td +textarea +tfoot +th +thead +title +tr +tt +u +ul +var +: text-attributes +baseline +blink +capitalize +center +justify +lowercase +middle +overline +sub +super +underline +uppercase +: +letter-spacing +line-height +text-align +text-decoration +text-indent +text-transform +vertical-align +word-spacing +: user-interface attributes +crosshair +cursor +dashed +default +dotted +double +groove +help +inset +invert +move +outset +pointer +ridge +solid +thick +thin +wait +.table cssUnits +.default Ident2 +cm +deg +em +ems +ex +exs +grad +hz +in +khz +mm +ms +pc +pt +pts +px +pxs +rad +s Index: filters/cwebfilt.l Prereq: 1.15 --- vile-9.5+/filters/cwebfilt.l 2004-11-23 22:31:40.000000000 +0000 +++ vile-9.5a/filters/cwebfilt.l 2005-09-04 21:10:12.000000000 +0000 @@ -2,7 +2,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/cwebfilt.l,v 1.15 2004/11/23 22:31:40 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/cwebfilt.l,v 1.16 2005/09/04 21:10:12 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of Cwebx input * text. Also useful for Cweb @@ -40,9 +40,9 @@ SPC [ ] BLANK [ \t] -INTEGER [-+]?([0-9]+) -REAL [-+]?([0-9]*\.[0-9]+)([eE][+-]?[0-9]+)? -IDENT [a-zA-Z_][a-zA-Z_0-9]* +INTEGER [-+]?([[:digit:]]+) +REAL [-+]?([[:digit:]]*\.[[:digit:]]+)([eE][+-]?[[:digit:]]+)? +IDENT [[:alpha:]_][[:alnum:]_]* SSTRING \'(\\.|[^'\\]|@@)\' DSTRING \"(\\.|[^"\\])*\" @@ -94,8 +94,8 @@ {STRING} { WriteToken(String_attr); } -\\[a-zA-Z][a-zA-Z]* { WriteToken(TeX_mot_cle); } -\\[^\\a-zA-z][ a-zA-Z] { WriteToken(Keyword_attr); } +\\[[:alpha:]][[:alpha:]]* { WriteToken(TeX_mot_cle); } +\\[^\\[:alpha:]][ [:alpha:]] { WriteToken(Keyword_attr); } "@*"[1-9]*[ ]*[^\.]*"." { WriteToken(Cweb_code_titre); } Index: filters/dcl-filt.l Prereq: 1.15 --- vile-9.5+/filters/dcl-filt.l 2004-11-23 22:35:27.000000000 +0000 +++ vile-9.5a/filters/dcl-filt.l 2005-09-04 21:10:12.000000000 +0000 @@ -3,7 +3,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/dcl-filt.l,v 1.15 2004/11/23 22:35:27 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/dcl-filt.l,v 1.16 2005/09/04 21:10:12 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of DCL files. * @@ -44,8 +44,8 @@ %} BLANK [ \t] -LABEL [a-zA-Z0-9_]+ -IDENT [a-zA-Z_][$a-zA-Z0-9_]* +LABEL [[:alnum:]_]+ +IDENT [[:alpha:]_][$[:alnum:]_]* OPTION "/"{IDENT} PARAM '{IDENT} @@ -56,7 +56,7 @@ INLINECOMMENT ![^\n]* COMMENT ^({BLANK}*)($)?({BLANK}*)(![^\n]*)? -NUMBER ([0-9]+)|(%[dD][0-9]+)|(%[oO][0-7]+)|(%[xX][a-fA-F0-9]+) +NUMBER ([[:digit:]]+)|(%[dD][[:digit:]]+)|(%[oO][0-7]+)|(%[xX][[:xdigit:]]+) STRING \"([^"\n]|(""))*\" Index: filters/def-filt.l Prereq: 1.6 --- vile-9.5+/filters/def-filt.l 2005-05-12 23:15:51.000000000 +0000 +++ vile-9.5a/filters/def-filt.l 2005-09-04 21:10:12.000000000 +0000 @@ -1,6 +1,6 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/def-filt.l,v 1.6 2005/05/12 23:15:51 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/def-filt.l,v 1.7 2005/09/04 21:10:12 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of M$ linker-definition * input text. @@ -16,8 +16,8 @@ %} -INTEGER ([0-9]+)|(0x[a-fA-Z0-9]+) -IDENT [!$]?[a-zA-Z][a-zA-Z_0-9]*[%&!#$]? +INTEGER ([[:digit:]]+)|(0x[[:xdigit:]]+) +IDENT [!$]?[[:alpha:]][[:alnum:]_]*[%&!#$]? SSTRING \'([^']|(''))*\' DSTRING \"([^"]|(""))*\" Index: filters/ecl-filt.l Prereq: 1.3 --- vile-9.5+/filters/ecl-filt.l 2004-11-23 22:37:09.000000000 +0000 +++ vile-9.5a/filters/ecl-filt.l 2005-09-05 11:12:23.000000000 +0000 @@ -3,7 +3,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/ecl-filt.l,v 1.3 2004/11/23 22:37:09 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/ecl-filt.l,v 1.5 2005/09/05 11:12:23 tom Exp $ * * Filter to add vile "attribution" sequences to Prolog/ECLiPSe code * @@ -23,9 +23,9 @@ BRCOMMENT "/*"[^*]*[*]+([^*/][^*]*[*]*)*"/" BRCOMMENT1 "/*"[/]?(([^*][/]+)|[^/])*"*/" -KEYWORD [a-z][a-zA-Z0-9_]* +KEYWORD [[:lower:]][[:alnum:]_]* -STARTQUERY ^[:?]"-/"[a-z \t\n] +STARTQUERY ^[:?]"-/"[[:lower:][:blank:]\n] SSTRING \'(\\.|\\\n|[^'\\])*\' DSTRING \"(\\.|\\\n|[^"\\])*\" Index: filters/esqlfilt.l Prereq: 1.13 --- vile-9.5+/filters/esqlfilt.l 2004-11-23 22:37:45.000000000 +0000 +++ vile-9.5a/filters/esqlfilt.l 2005-09-04 21:10:12.000000000 +0000 @@ -2,7 +2,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/esqlfilt.l,v 1.13 2004/11/23 22:37:45 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/esqlfilt.l,v 1.14 2005/09/04 21:10:12 tom Exp $ * * Filter to add vile "attribution" sequences to embedded SQL with C/C++. * - T.Dickey @@ -41,13 +41,13 @@ INCLUDE ("<"[^>]+">"|\"[^"]+\") -IDENT [a-zA-Z_][a-zA-Z_0-9]* +IDENT [[:alpha:]_][[:alnum:]_]* SIGN [-+] -DECIMAL [0-9_]+ +DECIMAL [[:digit:]_]+ OCTAL 0[0-7_]+ -HEXADECIMAL 0x[0-9a-fA-F_]+ -REAL [-+]?([0-9_]*\.[0-9][0-9_]*)([eE][+-]?[0-9_]+)? +HEXADECIMAL 0x[[:xdigit:]_]+ +REAL [-+]?([[:digit:]_]*\.[[:digit:]][[:digit:]_]*)([eE][+-]?[[:digit:]_]+)? NUMBER {SIGN}?({DECIMAL}|{OCTAL}|{HEXADECIMAL}|{REAL}) %% Index: filters/est-filt.l Prereq: 1.3 --- vile-9.5+/filters/est-filt.l 2003-05-20 20:38:41.000000000 +0000 +++ vile-9.5a/filters/est-filt.l 2005-09-04 21:10:12.000000000 +0000 @@ -2,7 +2,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/est-filt.l,v 1.3 2003/05/20 20:38:41 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/est-filt.l,v 1.4 2005/09/04 21:10:12 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of enscript * syntax-description files. @@ -23,12 +23,12 @@ SSTRING \'(\\.|[^'\\])*\' DSTRING \"((\\\")|[^"\n])*\" -KEYWORD [a-zA-Z_][a-zA-Z_0-9]* -IDENT \$[a-zA-Z_0-9]+ +KEYWORD [[:alpha:]_][[:alnum:]_]* +IDENT \$[[:alnum:]_]+ SIGN [-+] -INTEGER {SIGN}?([0-9]+) -REAL {SIGN}?[0-9]+\.[0-9]*|{SIGN}?\.[0-9]+ +INTEGER {SIGN}?([[:digit:]]+) +REAL {SIGN}?[[:digit:]]+\.[[:digit:]]*|{SIGN}?\.[[:digit:]]+ RANGE "["(\\.|.)+"]" Index: filters/fdl-filt.l Prereq: 1.9 --- vile-9.5+/filters/fdl-filt.l 2003-05-20 20:38:41.000000000 +0000 +++ vile-9.5a/filters/fdl-filt.l 2005-09-04 21:10:12.000000000 +0000 @@ -2,7 +2,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/fdl-filt.l,v 1.9 2003/05/20 20:38:41 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/fdl-filt.l,v 1.10 2005/09/04 21:10:12 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of fdl * input text. This treats all of the text area as quoted, except for @@ -27,7 +27,7 @@ BLANK [ \t]* REMAINDER [^\n]* -KEYWORD $[a-zA-Z_][a-zA-Z_0-9]* +KEYWORD $[[:alpha:]_][[:alnum:]_]* %% Index: filters/genmake.mak Prereq: 1.26 --- vile-9.5+/filters/genmake.mak 2005-05-10 22:51:21.000000000 +0000 +++ vile-9.5a/filters/genmake.mak 2005-09-05 00:11:32.000000000 +0000 @@ -1,4 +1,4 @@ -# $Header: /usr/build/vile/vile/filters/RCS/genmake.mak,v 1.26 2005/05/10 22:51:21 tom Exp $ +# $Header: /usr/build/vile/vile/filters/RCS/genmake.mak,v 1.29 2005/09/05 00:11:32 tom Exp $ # This is a list of filter root names and whether .c or .l files define the # filter. Except for vile-crypt and vile-manfilt (which do not correspond to # majormodes), the filter names are constructed as vile-{root}-filt. @@ -18,7 +18,9 @@ awk awk-filt l basic bas-filt l bat bat-filt l +bnf bnf-filt l cfg cfg-filt l +css css-filt l cweb cwebfilt l dcl dcl-filt l def def-filt l @@ -37,9 +39,11 @@ lisp lispfilt l mail mailfilt l make makefilt l +midl midlfilt l mms mms-filt l nr nr-filt l pas pas-filt l +php php-filt l pl perlfilt l pot pot-filt l ps ps-filt l @@ -62,6 +66,7 @@ txt txt-filt l vile vilefilt l vlog vl-filt l +wbt wbt-filt l xml xml-filt l xres xresfilt l xs xs-filt l Index: filters/htmlfilt.l Prereq: 1.34 --- vile-9.5+/filters/htmlfilt.l 2005-02-02 21:31:15.000000000 +0000 +++ vile-9.5a/filters/htmlfilt.l 2005-09-04 21:10:12.000000000 +0000 @@ -2,7 +2,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/htmlfilt.l,v 1.34 2005/02/02 21:31:15 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/htmlfilt.l,v 1.35 2005/09/04 21:10:12 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of HTML input * text. @@ -31,10 +31,10 @@ SPACE [ \t] -INTEGER [-+]?([0-9]+) -REAL [-+]?([0-9]*\.[0-9]+)([eE][+-]?[0-9]+)? -HEXNUM "#"[xX]?[0-9A-Fa-f]+ -IDENT [a-zA-Z_][a-zA-Z_0-9-]* +INTEGER [-+]?([[:digit:]]+) +REAL [-+]?([[:digit:]]*\.[[:digit:]]+)([eE][+-]?[[:digit:]]+)? +HEXNUM "#"[xX]?[[:xdigit:]]+ +IDENT [[:alpha:]_][[:alnum:]_-]* HSTRING \"([^"])*\" QSTRING \\["]([^"]|\\["])*\\["] Index: filters/imakeflt.l Prereq: 1.11 --- vile-9.5+/filters/imakeflt.l 2004-12-10 00:24:53.000000000 +0000 +++ vile-9.5a/filters/imakeflt.l 2005-09-05 10:35:35.000000000 +0000 @@ -1,9 +1,12 @@ %x NORMAL COMMENT QUOTE1 QUOTE2 CCOMMENT +%a 6000 +%o 10000 + %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/imakeflt.l,v 1.11 2004/12/10 00:24:53 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/imakeflt.l,v 1.14 2005/09/05 10:35:35 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of Imakefile * @@ -32,10 +35,10 @@ SPACE [ \t] -ID [a-zA-Z_][a-zA-Z_0-9]+ -NAME [a-zA-Z_][a-zA-Z_0-9.-]+ +ID [[:alpha:]_][[:alnum:]_]+ +NAME [[:alpha:]_][[:alnum:]_.-]+ -MACRO ([$]?\$\{{ID}\}|[$]?\${ID}|[$]?\$[A-Za-z@!%^&*?<]|\${SPACE}*\([^\)]+\)) +MACRO ([$]?\$\{{ID}\}|[$]?\${ID}|[$]?\$[[:alpha:]@!%^&*?<]|\${SPACE}*\([^\)]+\)) OPER [+:?]?= Index: filters/infofilt.l Prereq: 1.2 --- vile-9.5+/filters/infofilt.l 2003-05-20 20:38:41.000000000 +0000 +++ vile-9.5a/filters/infofilt.l 2005-09-05 01:30:11.000000000 +0000 @@ -1,9 +1,11 @@ %s NORMAL QUOTED QUOTES QUOTE1 +%o 6000 + %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/infofilt.l,v 1.2 2003/05/20 20:38:41 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/infofilt.l,v 1.4 2005/09/05 01:30:11 tom Exp $ * * Filter to add vile "attribution" sequences to an info file. * (An extension of "text" mode). @@ -26,24 +28,24 @@ COMMENT ^-[-]+[ \t]+[^\n]+\n -KEYWORD ([a-zA-Z_][a-zA-Z0-9_.]*|[A-Z][+/&][A-Z]) +KEYWORD ([[:alpha:]_][[:alnum:]_.]*|[[:upper:]][+/&][[:upper:]]) SIGN [-+] -DECIMAL [0-9_]+ +DECIMAL [[:digit:]_]+ OCTAL 0[0-7_]+ -HEXADECIMAL 0x[0-9a-fA-F_]+ -REAL [-+]?([0-9_]*\.[0-9][0-9_]*)([eE][+-]?[0-9_]+)? +HEXADECIMAL 0x[[:xdigit:]_]+ +REAL [-+]?([[:digit:]_]*\.[[:digit:]][[:digit:]_]*)([eE][+-]?[[:digit:]_]+)? NUMBER {SIGN}?({DECIMAL}|{OCTAL}|{HEXADECIMAL}|{REAL}) -NAME [a-zA-Z0-9_.#-]+ +NAME [[:alnum:]_.#-]+ ADDRESS ({NAME}|\.)+ EMAIL {NAME}@{ADDRESS} -URL [A-Za-z]+"://"[0-9a-zA-Z%/.~_#?=-]+ +URL [[:alpha:]]+"://"[[:alnum:]%/.~_#?=-]+ %% -<NORMAL>[A-Z]\'{KEYWORD} { ECHO; } +<NORMAL>[[:upper:]]\'{KEYWORD} { ECHO; } <NORMAL>{KEYWORD} { WriteToken(mixedcase(yytext) ? "" : Keyword_attr); } <NORMAL>{COMMENT} { WriteToken(Comment_attr); } Index: filters/ini-filt.l Prereq: 1.3 --- vile-9.5+/filters/ini-filt.l 2005-05-10 20:19:46.000000000 +0000 +++ vile-9.5a/filters/ini-filt.l 2005-09-04 21:15:43.000000000 +0000 @@ -2,7 +2,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/ini-filt.l,v 1.3 2005/05/10 20:19:46 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/ini-filt.l,v 1.4 2005/09/04 21:15:43 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of M$ ini-definition * input text. @@ -29,8 +29,8 @@ %} -INTEGER ([0-9]+)|(0x[a-fA-Z0-9]+) -IDENT [a-zA-Z][a-zA-Z_0-9.]*[%&!#$]? +INTEGER ([[:digit:]]+)|(0x[[:xdigit:]]+) +IDENT [[:alpha:]][[:alnum:]_.]*[%&!#$]? STRING \"([^"]|(""))*\" Index: filters/iss-filt.l Prereq: 1.3 --- vile-9.5+/filters/iss-filt.l 2005-05-12 00:15:20.000000000 +0000 +++ vile-9.5a/filters/iss-filt.l 2005-09-04 21:15:43.000000000 +0000 @@ -2,7 +2,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/iss-filt.l,v 1.3 2005/05/12 00:15:20 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/iss-filt.l,v 1.4 2005/09/04 21:15:43 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of Inno Setup * input text. @@ -22,12 +22,12 @@ BLANK [ \t] -IDENT [a-zA-Z_][a-zA-Z_0-9_]* +IDENT [[:alpha:]_][[:alnum:]_]* STRING \'([^'\n]|(''))*\' -HEXADECIMAL \$[0-9a-fA-F_]+ -UNSIGNED [0-9]+ +HEXADECIMAL \$[[:xdigit:]_]+ +UNSIGNED [[:digit:]]+ INTEGER [-+]?{UNSIGNED} REAL [-+]?(({UNSIGNED}?\.{UNSIGNED})|({UNSIGNED}(\.{UNSIGNED}?)?))([eE]{INTEGER})? Index: filters/latexflt.l Prereq: 1.39 --- vile-9.5+/filters/latexflt.l 2004-11-24 01:01:38.000000000 +0000 +++ vile-9.5a/filters/latexflt.l 2005-09-05 01:30:55.000000000 +0000 @@ -1,11 +1,11 @@ %s TEXT VERBATIM QUOTE_TT QUOTED MATH MATHC %o 6000 -%a 3000 +%a 4000 %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/latexflt.l,v 1.39 2004/11/24 01:01:38 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/latexflt.l,v 1.41 2005/09/05 01:30:55 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of TeX or LaTeX * input text. @@ -76,14 +76,14 @@ SPACE [ \t] NONSPACE [^ \t] -NAME [a-zA-Z@]+ -NONNAME [^a-zA-Z@] -MIXED_ALNUM ({NAME}[0-9.-_]+)+{NAME}? +NAME [[:alpha:]@]+ +NONNAME [^[:alpha:]@] +MIXED_ALNUM ({NAME}[[:digit:].-_]+)+{NAME}? OCTAL \'[0-7]+ -DECIMAL [0-9]+ +DECIMAL [[:digit:]]+ INTEGER [-+]?({OCTAL}|{DECIMAL}) -REAL [-+]?([0-9]*\.[0-9]+)([eE][+-]?[0-9]+)? +REAL [-+]?([[:digit:]]*\.[[:digit:]]+)([eE][+-]?[[:digit:]]+)? NUMBER ({INTEGER}|{REAL}) %% @@ -104,8 +104,8 @@ <TEXT>\\"xdef"\\{NONNAME} | <TEXT>\\"gdef"\\{NONNAME} { write_define(5); } -<TEXT>\\"let"[^a-zA-Z] | -<TEXT>\\"def"[^a-zA-Z] { write_define(4); } +<TEXT>\\"let"[^[:alpha:]] | +<TEXT>\\"def"[^[:alpha:]] { write_define(4); } <TEXT>\\"begintt"{NONNAME} { yyless(yyleng-1); /* reinterp {NONAME} */ Index: filters/lex-filt.l Prereq: 1.24 --- vile-9.5+/filters/lex-filt.l 2005-02-02 21:14:18.000000000 +0000 +++ vile-9.5a/filters/lex-filt.l 2005-09-03 15:04:26.000000000 +0000 @@ -2,7 +2,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/lex-filt.l,v 1.24 2005/02/02 21:14:18 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/lex-filt.l,v 1.26 2005/09/03 15:04:26 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of LEX program. */ @@ -28,19 +28,21 @@ %} -SPACE [ \t] +SPACE [[:blank:]] -DIRECTIVE ^%[^ \t] +DIRECTIVE ^%[^[:blank:]] -IDENT [a-zA-Z_][a-zA-Z_0-9]* +IDENT [[:alpha:]_][[:alnum:]_]* -INTEGER [-+]?([0-9]+) +INTEGER [-+]?([[:digit:]]+) SSTRING \'(\\.|[^'\\])*\' DSTRING \"(\\.|[^"\\])*\" STRINGS ({SSTRING}|{DSTRING}) -PATTERN (\\.|\[(\\.|.)*\]|[^ \t\n])+ +QUOTED \"([^"\r\n]|\\\")+\" +RANGE "["([^\n\r\[\]]|\\\[|\\\])*"]" +PATTERN (\\.|{RANGE}|[^ \t\n])+ STATES ("<"({IDENT}|\,)+">") MATCH {STATES}?{PATTERN} Index: filters/lispfilt.l Prereq: 1.10 --- vile-9.5+/filters/lispfilt.l 2005-01-15 17:38:06.000000000 +0000 +++ vile-9.5a/filters/lispfilt.l 2005-09-04 20:36:24.000000000 +0000 @@ -2,7 +2,7 @@ %{ /* - * $Id: lispfilt.l,v 1.10 2005/01/15 17:38:06 tom Exp $ + * $Id: lispfilt.l,v 1.11 2005/09/04 20:36:24 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of lisp code. * @@ -34,8 +34,8 @@ SIGN [-+] POINT [.] -DIGIT ([0-9]) -DECIMAL [0-9] +DIGIT ([[:digit:]]) +DECIMAL [[:digit:]] SLASH [/] INTEGER ({SIGN}?{DECIMAL}+{POINT}?)|({SIGN}?{DIGIT}+) Index: filters/mailfilt.l Prereq: 1.14 --- vile-9.5+/filters/mailfilt.l 2003-05-20 20:38:41.000000000 +0000 +++ vile-9.5a/filters/mailfilt.l 2005-09-05 01:31:56.000000000 +0000 @@ -1,9 +1,11 @@ %s HEADER BODY +%o 7000 + %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/mailfilt.l,v 1.14 2003/05/20 20:38:41 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/mailfilt.l,v 1.16 2005/09/05 01:31:56 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of mail */ @@ -25,13 +27,13 @@ BEGIN_HEADER ^"From "{EMAIL} -LABEL ^[A-Za-z]([A-Za-z-]+): +LABEL ^[[:alpha:]]([[:alpha:]-]+): -NAME [a-zA-Z0-9_+.#-]+ +NAME [[:alnum:]_+.#-]+ ADDRESS ({NAME}|\.)+ EMAIL {NAME}@{ADDRESS} -URL [A-Za-z]+"://"[0-9a-zA-Z%/.~_#?=-]+ +URL [[:alpha:]]+"://"[[:alnum:]%/.~_#?=-]+ %% Index: filters/makefile.2nd Prereq: 1.10 --- vile-9.5+/filters/makefile.2nd 2005-07-11 20:28:55.000000000 +0000 +++ vile-9.5a/filters/makefile.2nd 2005-09-04 19:56:39.000000000 +0000 @@ -1,4 +1,4 @@ -# $Header: /usr/build/vile/vile/filters/RCS/makefile.2nd,v 1.10 2005/07/11 20:28:55 tom Exp $ +# $Header: /usr/build/vile/vile/filters/RCS/makefile.2nd,v 1.11 2005/09/04 19:56:39 tom Exp $ # .SUFFIXES: .l .c .i $o @@ -8,14 +8,14 @@ .l$o: @RULE_CC@ @ECHO_CC@echo "#include <flt_defs.h>" > $*.c - @ECHO_CC@$(LEX) -t $< >> $*.c + @ECHO_CC@@LEX_CHAR_CLASSES@ $(LEX) -t $< >> $*.c @ECHO_CC@$(CC) -c $(CPPFLAGS) $(CFLAGS) $*.c -$(RM) $*.c .l.c: @RULE_CC@ @ECHO_CC@echo "#include <flt_defs.h>" > $*.c - @ECHO_CC@$(LEX) -t $< >> $*.c + @ECHO_CC@@LEX_CHAR_CLASSES@ $(LEX) -t $< >> $*.c .c.i: @RULE_CC@ Index: filters/makefilt.l Prereq: 1.50 --- vile-9.5+/filters/makefilt.l 2004-12-10 01:48:37.000000000 +0000 +++ vile-9.5a/filters/makefilt.l 2005-09-05 01:32:51.000000000 +0000 @@ -1,9 +1,12 @@ %x NORMAL COMMENT QUOTE1 QUOTE2 +%a 6000 +%o 10000 + %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/makefilt.l,v 1.50 2004/12/10 01:48:37 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/makefilt.l,v 1.52 2005/09/05 01:32:51 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of Makefile * @@ -32,10 +35,10 @@ SPACE [ \t] -ID [a-zA-Z_][a-zA-Z_0-9]+ -NAME [a-zA-Z_][a-zA-Z_0-9.-]+ +ID [[:alpha:]_][[:alnum:]_]+ +NAME [[:alpha:]_][[:alnum:]_.-]+ -MACRO ([$]?\$\{{ID}\}|[$]?\${ID}|[$]?\$[A-Za-z@!%^&*?<]|\${SPACE}*\([^\)]+\)) +MACRO ([$]?\$\{{ID}\}|[$]?\${ID}|[$]?\$[[:alpha:]@!%^&*?<]|\${SPACE}*\([^\)]+\)) OPER [+:?]?= Index: filters/midl.key --- /dev/null 2005-07-02 10:53:52.000000000 +0000 +++ vile-9.5a/filters/midl.key 2005-09-05 00:56:51.000000000 +0000 @@ -0,0 +1,176 @@ +: $Id: midl.key,v 1.3 2005/09/05 00:56:51 tom Exp $ +: Microsoft Interface Definition Language (MIDL) +aggregatable +allocate +appobject +arrays +async +async_uuid +auto_handle +bindable +broadcast +byte_count +call_as +callback +coclass +code +comm_status +const +context_handle +context_handle_noserialize +context_handle_serialize +control +cpp_quote +custom +decode +default +defaultbind +defaultcollelem +defaultvalue +defaultvtable +dispinterface +displaybind +dllname +dual +enable_allocate +encode +endpoint +entry +enum +error_status_t +explicit_handle +fault_status +first_is +force_allocate +handle +handle_t +helpcontext +helpfile +helpstring +helpstringcontext +helpstringdll +hidden +id +idempotent +ignore +iid_is +immediatebind +implicit_handle +import +importlib +in +in_line +include +interface +last_is +lcid +length_is +library +licensed +local +max_is +maybe +message +midl_pragma +midl_user_allocate +midl_user_free +min_is +module +ms_union +ncacn_at_dsp +ncacn_dnet_nsp +ncacn_http +ncacn_ip_tcp +ncacn_nb_ipx +ncacn_nb_nb +ncacn_nb_tcp +ncacn_np +ncacn_spx +ncacn_vns_spp +ncadg_ip_udp +ncadg_ipx +ncadg_mq +ncalrpc +nocode +nonbrowsable +noncreatable +nonextensible +notify +notify_flag +object +odl +oleautomation +optimize +optional +out +out_of_line +partial_ignore +pipe +pointer_default +pragma +propget +propput +propputref +proxy +ptr +public +range +readonly +ref +represent_as +requestedit +restricted +retval +shape +size_is +small +source +strict_context_handle +string +struct +switch +switch_is +switch_type +transmit_as +typedef +uidefault +unique +user_marshal +usesgetlasterror +uuid +v1_enum +vararg +version +warning +wire_marshal +: +.default Type +BOOL +BSTR +BYTE +Boolean +DWORD +HMODULE +HRESULT +LPCSTR +LPCWSTR +LPSTR +LPWSTR +VARIANT +VARIANT_BOOL +WORD +__int3264 +__int64 +byte +char +double +float +hyper +int +long +short +signed +union +unsigned +void +wchar_t Index: filters/midlfilt.l --- /dev/null 2005-07-02 10:53:52.000000000 +0000 +++ vile-9.5a/filters/midlfilt.l 2005-09-05 00:48:14.000000000 +0000 @@ -0,0 +1,73 @@ +%x COMMENT CODE UUID + +%{ +/* + * $Header: /usr/build/vile/vile/filters/RCS/midlfilt.l,v 1.2 2005/09/05 00:48:14 tom Exp $ + * + * Filter to add vile "attribution" sequences to MIDL / ODL files. + * - T.Dickey + */ + +#include <filters.h> + +DefineFilter("midl"); + +static char *Comment_attr; +static char *Error_attr; +static char *Ident2_attr; +static char *Number_attr; +static char *String_attr; +%} + +STRINGS \"(\\.|[^"\\]|\\\n)*\" + +IDENT [[:alpha:]][[:alnum:]_]* + +SIGN [-+] +DECIMAL [[:digit:]_]+ +REAL [-+]?([[:digit:]_]*\.[[:digit:]][[:digit:]_]*)([eE][+-]?[[:digit:]_]+)? +NUMBER {SIGN}?({DECIMAL}|{REAL}) + +%% + +<CODE>{IDENT} { WriteToken(keyword_attr(yytext)); } +<CODE>";" { ECHO; } + +<CODE>"/*" { WriteToken(Comment_attr); BEGIN(COMMENT); } +<COMMENT>[^*]* { WriteToken(Comment_attr); } +<COMMENT>"*"+[^*/]* { WriteToken(Comment_attr); } +<COMMENT>"*"+"/" { WriteToken(Comment_attr); BEGIN(CODE); } + +<CODE>"//"[^\n]* { WriteToken(Comment_attr); } + +<CODE>{STRINGS} { WriteToken(String_attr); } + +<CODE>{NUMBER} { WriteToken(Number_attr); } + +<CODE>"uuid(" { WriteToken(Ident2_attr); BEGIN(UUID); } +<UUID>[[:xdigit:]-]+ { WriteToken(Number_attr); } +<UUID>")" { WriteToken(Ident2_attr); BEGIN(CODE); } +<UUID>[^)[:xdigit:][:space:]-]* { WriteToken(Error_attr); } + +%% + +static void +init_filter(int before GCC_UNUSED) +{ +} + +static void +do_filter(FILE *inputs) +{ + yyin = inputs; + + Comment_attr = class_attr(NAME_COMMENT); + Error_attr = class_attr(NAME_ERROR); + Ident2_attr = class_attr(NAME_IDENT2); + Number_attr = class_attr(NAME_NUMBER); + String_attr = class_attr(NAME_LITERAL); + + BEGIN(CODE); + while (yylex() > 0) { + } +} Index: filters/mms-filt.l Prereq: 1.10 --- vile-9.5+/filters/mms-filt.l 2005-07-11 22:31:59.000000000 +0000 +++ vile-9.5a/filters/mms-filt.l 2005-09-05 01:37:56.000000000 +0000 @@ -1,7 +1,10 @@ +%a 5000 +%o 6000 + %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/mms-filt.l,v 1.10 2005/07/11 22:31:59 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/mms-filt.l,v 1.12 2005/09/05 01:37:56 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of VMS mms script * - T.Dickey @@ -56,7 +59,7 @@ DSTRING \"(\\.|[^"\\])*\" STRINGS ({SSTRING}|{DSTRING}) -IDENT [$a-zA-Z_][$a-zA-Z0-9_]* +IDENT [$[:alpha:]_][$[:alnum:]_]* PARAM '{IDENT} INLINECOMMENT ![^\n]* Index: filters/noclass.sh --- /dev/null 2005-07-02 10:53:52.000000000 +0000 +++ vile-9.5a/filters/noclass.sh 2005-09-05 13:39:18.000000000 +0000 @@ -0,0 +1,56 @@ +#!/bin/sh +# $Id: noclass.sh,v 1.6 2005/09/05 13:39:18 tom Exp $ +# support older versions of flex/lex which do not support character classes +# by expanding them into POSIX equivalents. +# +# Note: [:cntrl:] is omitted since it is hard to do portably, and is rarely +# used in lexical scanners. +# +# $1 is the lex/flex program to run +# $2+ are the parameters +LEX=$1 +OPT= +SRC= +shift +while test $# != 0 +do + case $1 in + *.l) + SRC="$SRC $1" + ;; + *) + OPT="$OPT $1" + ;; + esac + shift +done +tmp=noclass$$.l +if test -n "$SRC" ; then + blank=' \t' + lower='abcdefghijklmnopqrstuvwxyz' + upper='ABCDEFGHIJKLMNOPQRSTUVWXYZ' + digit='0123456789' + punct='~!@#\$%\^\\\&*()_+\`{}|:\"<>?\\\[\\\]\\;'\'',.\/\-' + sed \ + -e 's/\[:alpha:\]/'${lower}${upper}'/g' \ + -e 's/\[:upper:\]/'${upper}'/g' \ + -e 's/\[:lower:\]/'${lower}'/g' \ + -e 's/\[:alnum:\]/'${lower}${upper}${digit}'/g' \ + -e 's/\[:xdigit:\]/abcdefABCDEF'${digit}'/g' \ + -e 's/\[:blank:\]/'"${blank}"'/g' \ + -e 's/\[:space:\]/'"${blank}"'\\r\\n\\f/g' \ + -e 's/\[:digit:\]/'${digit}'/g' \ + -e 's/\[:punct:\]/'"${punct}"'/g' \ + -e 's/\[:graph:\]/'"${lower}${upper}${digit}${punct}"'/g' \ + -e 's/\[:print:\]/'"${lower}${upper}${digit}${punct}${blank}"'/g' \ + $SRC >$tmp + $LEX $OPT $tmp + code=$? + rm -f $tmp + #diff -c $SRC $tmp >&2 + #echo $tmp >&2 + exit $code +else + echo '? no source found' >&2 + exit 1 +fi Index: filters/nr-filt.l Prereq: 1.16 --- vile-9.5+/filters/nr-filt.l 2005-07-11 22:32:28.000000000 +0000 +++ vile-9.5a/filters/nr-filt.l 2005-09-04 21:15:43.000000000 +0000 @@ -1,7 +1,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/nr-filt.l,v 1.16 2005/07/11 22:32:28 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/nr-filt.l,v 1.17 2005/09/04 21:15:43 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of NROFF */ @@ -41,12 +41,12 @@ %} -COMMAND ^(\.[a-zA-Z0-9]+([ \t][^\n]+)?|'\\\"[^\n]+) +COMMAND ^(\.[[:alnum:]]+([ \t][^\n]+)?|'\\\"[^\n]+) COMMENT ^\.\\\" ENIT \\[e *\n\\+-] -FONT \\f[A-Z1-4] +FONT \\f[[:upper:]1-4] %% @@ -55,7 +55,7 @@ {FONT}([^\\\n]|{ENIT})+(\\f[^PR1]|\n) { WriteToken(Action_attr); /* err? */ } {FONT}([^\\\n]|{ENIT})+\\f[PR1] { write_font(yytext, yyleng); } {ENIT} { WriteToken(Ident2_attr); } -\\[s][+-]?[0-9]+ { WriteToken(Ident2_attr); } +\\[s][+-]?[[:digit:]]+ { WriteToken(Ident2_attr); } {COMMENT}[^\n]* { WriteToken(Comment_attr); } Index: filters/pas-filt.l Prereq: 1.2 --- vile-9.5+/filters/pas-filt.l 2004-03-22 22:55:06.000000000 +0000 +++ vile-9.5a/filters/pas-filt.l 2005-09-04 21:15:43.000000000 +0000 @@ -2,7 +2,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/pas-filt.l,v 1.2 2004/03/22 22:55:06 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/pas-filt.l,v 1.3 2005/09/04 21:15:43 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of Pascal * input text. A few TurboC and Delphi extensions are recognized: @@ -22,11 +22,11 @@ %} -HEXADECIMAL \$[0-9a-fA-F_]+ -UNSIGNED [0-9]+ +HEXADECIMAL \$[[:xdigit:]_]+ +UNSIGNED [[:digit:]]+ INTEGER [-+]?{UNSIGNED} REAL [-+]?(({UNSIGNED}?\.{UNSIGNED})|({UNSIGNED}(\.{UNSIGNED}?)?))([eE]{INTEGER})? -IDENT [a-zA-Z_][a-zA-Z_0-9]* +IDENT [[:alpha:]_][[:alnum:]_]* CHARACTER "#"{UNSIGNED} STRING \'([^'\n]|(''))*\' Index: filters/perlfilt.l Prereq: 1.40 --- vile-9.5+/filters/perlfilt.l 2004-11-23 22:41:08.000000000 +0000 +++ vile-9.5a/filters/perlfilt.l 2005-09-05 13:38:18.000000000 +0000 @@ -2,7 +2,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/perlfilt.l,v 1.40 2004/11/23 22:41:08 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/perlfilt.l,v 1.43 2005/09/05 13:38:18 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of PERL input * text. @@ -41,20 +41,20 @@ DSTRING \"(\\.|\\\n|[^"\\])*\" STRINGS ({SSTRING}|{DSTRING}) -KEYWORD [a-zA-Z_][a-zA-Z_0-9]* -QIDENT ["'`]?[a-zA-Z_][a-zA-Z_0-9]+["'`]? +KEYWORD [[:alpha:]_][[:alnum:]_]* +QIDENT ["'`]?[[:alpha:]_][[:alnum:]_]+["'`]? -NORMALVARS [\$%@][a-zA-Z_0-9]+('[a-zA-Z0-9]+)? -OTHERVARS (\$[-_.\/,"\\#%=~|\$?&`'+*\[\];!@<>():])|(\$\^[@-\177]?) +NORMALVARS [\$%@][[:alnum:]_]+('[[:alnum:]]+)? +OTHERVARS (\$[-_.\/,"\\#%=~|\$?\&`'+*\[\];!@<>():])|(\$\^[[:graph:]]?) IDENT {NORMALVARS}|{OTHERVARS} SIGN [-+] -DECIMAL [0-9_]+ +DECIMAL [[:digit:]_]+ OCTAL 0[0-7_]+ BINARY 0b[01_]+ -HEXADECIMAL 0x[0-9a-fA-F_]+ -VERSION v[0-9_]+(\.[0-9_]+)* -REAL [-+]?([0-9_]*\.[0-9][0-9_]*)([eE][+-]?[0-9_]+)? +HEXADECIMAL 0x[[:xdigit:]_]+ +VERSION v[[:digit:]_]+(\.[[:digit:]_]+)* +REAL [-+]?([[:digit:]_]*\.[[:digit:]][[:digit:]_]*)([eE][+-]?[[:digit:]_]+)? NUMBER {SIGN}?({DECIMAL}|{OCTAL}|{HEXADECIMAL}|{REAL}|{BINARY}|{VERSION}) %% @@ -91,7 +91,7 @@ <CODE>{NUMBER} { WriteToken(Number_attr); } -<CODE>-[a-zA-Z] { WriteToken(Keyword_attr); } +<CODE>-[[:alpha:]] { WriteToken(Keyword_attr); } <CODE>{KEYWORD} { WriteToken(keyword_attr(yytext)); if (!strcmp(yytext, "__END__")) { BEGIN(IGNORED); } } @@ -104,7 +104,7 @@ <IGNORED>[^\n]* { WriteToken(Comment_attr); } -<CODE>\n\n=[a-z].* { +<CODE>\n\n=[[:lower:]].* { flt_puts("\n\n", 2, ""); WriteToken2(Comment_attr,2); BEGIN(POD); Index: filters/php-filt.l --- /dev/null 2005-07-02 10:53:52.000000000 +0000 +++ vile-9.5a/filters/php-filt.l 2005-09-04 20:37:43.000000000 +0000 @@ -0,0 +1,78 @@ +%x COMMENT CODE + +%{ +/* + * $Header: /usr/build/vile/vile/filters/RCS/php-filt.l,v 1.4 2005/09/04 20:37:43 tom Exp $ + * + * Filter to add vile "attribution" sequences to PHP scripts. + * - T.Dickey + */ + +#include <filters.h> + +DefineFilter("php"); + +static char *Action_attr; +static char *Comment_attr; +static char *Ident2_attr; +static char *Number_attr; +static char *String_attr; +%} + +BLANK [[:blank:]]* + +SSTRING \'(\\.|[^'\\])*\' +DSTRING \"(\\.|[^"\\]|\\\n)*\" +STRINGS ({SSTRING}|{DSTRING}) + +IDENT [[:alpha:]][[:alnum:]_]* + +SIGN [-+] +DECIMAL [[:digit:]_]+ +OCTAL 0[0-7_]+ +HEXADECIMAL 0x[[:xdigit:]_]+ +REAL [-+]?([[:digit:]_]*\.[[:digit:]][[:digit:]_]*)([eE][+-]?[[:digit:]_]+)? +NUMBER {SIGN}?({DECIMAL}|{OCTAL}|{HEXADECIMAL}|{REAL}) + +%% + +<CODE>"<?"("php")? { WriteToken(Action_attr); } +<CODE>"?>" { WriteToken(Action_attr); } + +<CODE>"$"{IDENT} { WriteToken(Ident2_attr); } +<CODE>{IDENT} { WriteToken(keyword_attr(yytext)); } +<CODE>";" { ECHO; } + +<CODE>"/*" { WriteToken(Comment_attr); BEGIN(COMMENT); } +<COMMENT>[^*]* { WriteToken(Comment_attr); } +<COMMENT>"*"+[^*/]* { WriteToken(Comment_attr); } +<COMMENT>"*"+"/" { WriteToken(Comment_attr); BEGIN(CODE); } + +<CODE>"//"[^\n]* { WriteToken(Comment_attr); } + +<CODE>{STRINGS} { WriteToken(String_attr); } + +<CODE>{NUMBER} { WriteToken(Number_attr); } + +%% + +static void +init_filter(int before GCC_UNUSED) +{ +} + +static void +do_filter(FILE *inputs) +{ + yyin = inputs; + + Action_attr = class_attr(NAME_ACTION); + Comment_attr = class_attr(NAME_COMMENT); + Ident2_attr = class_attr(NAME_IDENT2); + Number_attr = class_attr(NAME_NUMBER); + String_attr = class_attr(NAME_LITERAL); + + BEGIN(CODE); + while (yylex() > 0) { + } +} Index: filters/php.key --- /dev/null 2005-07-02 10:53:52.000000000 +0000 +++ vile-9.5a/filters/php.key 2005-08-31 23:21:16.000000000 +0000 @@ -0,0 +1,3361 @@ +: $Id: php.key,v 1.3 2005/08/31 23:21:16 tom Exp $ +: functions +SWFAction +SWFBitmap +SWFDisplayItem +SWFFill +SWFFont +SWFGradient +SWFMorph +SWFMovie +SWFShape +SWFSprite +SWFText +SWFTextField +SWFbutton +VARIANT +abs +acos +acosh +addcslashes +addslashes +aggregate +aggregate_info +aggregate_methods +aggregate_methods_by_list +aggregate_methods_by_regexp +aggregate_properties +aggregate_properties_by_list +aggregate_properties_by_regexp +aggregation_info +apache_child_terminate +apache_get_modules +apache_get_version +apache_getenv +apache_lookup_uri +apache_note +apache_request_headers +apache_response_headers +apache_setenv +array +array_change_key_case +array_chunk +array_combine +array_count_values +array_diff +array_diff_assoc +array_diff_uassoc +array_fill +array_filter +array_flip +array_intersect +array_intersect_assoc +array_key_exists +array_keys +array_map +array_merge +array_merge_recursive +array_multisort +array_pad +array_pop +array_push +array_rand +array_reduce +array_reverse +array_search +array_shift +array_slice +array_splice +array_sum +array_udiff +array_udiff_assoc +array_udiff_uassoc +array_unique +array_unshift +array_values +array_walk +arsort +ascii2ebcdic +asin +asinh +asort +aspell_check +aspell_new +aspell_suggest +assert +assert_options +atan +atan2 +atanh +base64_decode +base64_encode +base_convert +basename +bcadd +bccomp +bcdiv +bcmod +bcmul +bcpow +bcpowmod +bcscale +bcsqrt +bcsub +bin2hex +bind_textdomain_codeset +bindec +bindtextdomain +bzclose +bzcompress +bzdecompress +bzerrno +bzerror +bzerrstr +bzflush +bzopen +bzread +bzwrite +cal_days_in_month +cal_from_jd +cal_info +cal_to_jd +call_user_func +call_user_func_array +call_user_method +call_user_method_array +ccvs_add +ccvs_auth +ccvs_command +ccvs_count +ccvs_delete +ccvs_done +ccvs_init +ccvs_lookup +ccvs_new +ccvs_report +ccvs_return +ccvs_reverse +ccvs_sale +ccvs_status +ccvs_textvalue +ccvs_void +ceil +chdir +checkdate +checkdnsrr +chgrp +chmod +chop +chown +chr +chroot +chunk_split +class_exists +clearstatcache +closedir +closelog +com +com_addref +com_get +com_invoke +com_isenum +com_load +com_load_typelib +com_propget +com_propput +com_propset +com_release +com_set +compact +connection_aborted +connection_status +connection_timeout +constant +convert_cyr_string +copy +cos +cosh +count +count_chars +cpdf_add_annotation +cpdf_add_outline +cpdf_arc +cpdf_begin_text +cpdf_circle +cpdf_clip +cpdf_close +cpdf_closepath +cpdf_closepath_fill_stroke +cpdf_closepath_stroke +cpdf_continue_text +cpdf_curveto +cpdf_end_text +cpdf_fill +cpdf_fill_stroke +cpdf_finalize +cpdf_finalize_page +cpdf_global_set_document_limits +cpdf_import_jpeg +cpdf_lineto +cpdf_moveto +cpdf_newpath +cpdf_open +cpdf_output_buffer +cpdf_page_init +cpdf_place_inline_image +cpdf_rect +cpdf_restore +cpdf_rlineto +cpdf_rmoveto +cpdf_rotate +cpdf_rotate_text +cpdf_save +cpdf_save_to_file +cpdf_scale +cpdf_set_action_url +cpdf_set_char_spacing +cpdf_set_creator +cpdf_set_current_page +cpdf_set_font +cpdf_set_font_directories +cpdf_set_font_map_file +cpdf_set_horiz_scaling +cpdf_set_keywords +cpdf_set_leading +cpdf_set_page_animation +cpdf_set_subject +cpdf_set_text_matrix +cpdf_set_text_pos +cpdf_set_text_rendering +cpdf_set_text_rise +cpdf_set_title +cpdf_set_viewer_preferences +cpdf_set_word_spacing +cpdf_setdash +cpdf_setflat +cpdf_setgray +cpdf_setgray_fill +cpdf_setgray_stroke +cpdf_setlinecap +cpdf_setlinejoin +cpdf_setlinewidth +cpdf_setmiterlimit +cpdf_setrgbcolor +cpdf_setrgbcolor_fill +cpdf_setrgbcolor_stroke +cpdf_show +cpdf_show_xy +cpdf_stringwidth +cpdf_stroke +cpdf_text +cpdf_translate +crack_check +crack_closedict +crack_getlastmessage +crack_opendict +crc32 +create_function +crypt +ctype_alnum +ctype_alpha +ctype_cntrl +ctype_digit +ctype_graph +ctype_lower +ctype_print +ctype_punct +ctype_space +ctype_upper +ctype_xdigit +curl_close +curl_errno +curl_error +curl_exec +curl_getinfo +curl_init +curl_multi_add_handle +curl_multi_close +curl_multi_exec +curl_multi_getcontent +curl_multi_info_read +curl_multi_init +curl_multi_remove_handle +curl_multi_select +curl_setopt +curl_version +current +cybercash_base64_decode +cybercash_base64_encode +cybercash_decr +cybercash_encr +cyrus_authenticate +cyrus_bind +cyrus_close +cyrus_connect +cyrus_query +cyrus_unbind +date +dba_close +dba_delete +dba_exists +dba_fetch +dba_firstkey +dba_handlers +dba_insert +dba_key_split +dba_list +dba_nextkey +dba_open +dba_optimize +dba_popen +dba_replace +dba_sync +dbase_add_record +dbase_close +dbase_create +dbase_delete_record +dbase_get_header_info +dbase_get_record +dbase_get_record_with_names +dbase_numfields +dbase_numrecords +dbase_open +dbase_pack +dbase_replace_record +dblist +dbmclose +dbmdelete +dbmexists +dbmfetch +dbmfirstkey +dbminsert +dbmnextkey +dbmopen +dbmreplace +dbplus_add +dbplus_aql +dbplus_chdir +dbplus_close +dbplus_curr +dbplus_errcode +dbplus_errno +dbplus_find +dbplus_first +dbplus_flush +dbplus_freealllocks +dbplus_freelock +dbplus_freerlocks +dbplus_getlock +dbplus_getunique +dbplus_info +dbplus_last +dbplus_lockrel +dbplus_next +dbplus_open +dbplus_prev +dbplus_rchperm +dbplus_rcreate +dbplus_rcrtexact +dbplus_rcrtlike +dbplus_resolve +dbplus_restorepos +dbplus_rkeys +dbplus_ropen +dbplus_rquery +dbplus_rrename +dbplus_rsecindex +dbplus_runlink +dbplus_rzap +dbplus_savepos +dbplus_setindex +dbplus_setindexbynumber +dbplus_sql +dbplus_tcl +dbplus_tremove +dbplus_undo +dbplus_undoprepare +dbplus_unlockrel +dbplus_unselect +dbplus_update +dbplus_xlockrel +dbplus_xunlockrel +dbx_close +dbx_compare +dbx_connect +dbx_error +dbx_escape_string +dbx_fetch_row +dbx_query +dbx_sort +dcgettext +dcngettext +deaggregate +debug_backtrace +debug_print_backtrace +debugger_off +debugger_on +decbin +dechex +decoct +define +define_syslog_variables +defined +deg2rad +delete +dgettext +die +dio_close +dio_fcntl +dio_open +dio_read +dio_seek +dio_stat +dio_tcsetattr +dio_truncate +dio_write +dir +dirname +disk_free_space +disk_total_space +diskfreespace +dl +dngettext +dns_check_record +dns_get_mx +dns_get_record +domxml_new_doc +domxml_open_file +domxml_open_mem +domxml_version +domxml_xmltree +domxml_xslt_stylesheet +domxml_xslt_stylesheet_doc +domxml_xslt_stylesheet_file +dotnet_load +doubleval +each +easter_date +easter_days +ebcdic2ascii +echo +empty +end +ereg +ereg_replace +eregi +eregi_replace +error_log +error_reporting +escapeshellarg +escapeshellcmd +eval +exec +exif_imagetype +exif_read_data +exif_thumbnail +exit +exp +explode +expm1 +extension_loaded +extract +ezmlm_hash +fam_cancel_monitor +fam_close +fam_monitor_collection +fam_monitor_directory +fam_monitor_file +fam_next_event +fam_open +fam_pending +fam_resume_monitor +fam_suspend_monitor +fbsql_affected_rows +fbsql_autocommit +fbsql_change_user +fbsql_close +fbsql_commit +fbsql_connect +fbsql_create_blob +fbsql_create_clob +fbsql_create_db +fbsql_data_seek +fbsql_database +fbsql_database_password +fbsql_db_query +fbsql_db_status +fbsql_drop_db +fbsql_errno +fbsql_error +fbsql_fetch_array +fbsql_fetch_assoc +fbsql_fetch_field +fbsql_fetch_lengths +fbsql_fetch_object +fbsql_fetch_row +fbsql_field_flags +fbsql_field_len +fbsql_field_name +fbsql_field_seek +fbsql_field_table +fbsql_field_type +fbsql_free_result +fbsql_get_autostart_info +fbsql_hostname +fbsql_insert_id +fbsql_list_dbs +fbsql_list_fields +fbsql_list_tables +fbsql_next_result +fbsql_num_fields +fbsql_num_rows +fbsql_password +fbsql_pconnect +fbsql_query +fbsql_read_blob +fbsql_read_clob +fbsql_result +fbsql_rollback +fbsql_select_db +fbsql_set_lob_mode +fbsql_set_transaction +fbsql_start_db +fbsql_stop_db +fbsql_tablename +fbsql_username +fbsql_warnings +fclose +fdf_add_doc_javascript +fdf_add_template +fdf_close +fdf_create +fdf_enum_values +fdf_errno +fdf_error +fdf_get_ap +fdf_get_attachment +fdf_get_encoding +fdf_get_file +fdf_get_flags +fdf_get_opt +fdf_get_status +fdf_get_value +fdf_get_version +fdf_header +fdf_next_field_name +fdf_open +fdf_open_string +fdf_remove_item +fdf_save +fdf_save_string +fdf_set_ap +fdf_set_encoding +fdf_set_file +fdf_set_flags +fdf_set_javascript_action +fdf_set_opt +fdf_set_status +fdf_set_submit_form_action +fdf_set_target_frame +fdf_set_value +fdf_set_version +feof +fflush +fgetc +fgetcsv +fgets +fgetss +file +file_exists +file_get_contents +file_put_contents +fileatime +filectime +filegroup +fileinode +filemtime +fileowner +fileperms +filepro +filepro_fieldcount +filepro_fieldname +filepro_fieldtype +filepro_fieldwidth +filepro_retrieve +filepro_rowcount +filesize +filetype +floatval +flock +floor +flush +fmod +fnmatch +fopen +fpassthru +fprintf +fputs +fread +frenchtojd +fribidi_log2vis +fscanf +fseek +fsockopen +fstat +ftell +ftok +ftp_alloc +ftp_cdup +ftp_chdir +ftp_chmod +ftp_close +ftp_connect +ftp_delete +ftp_exec +ftp_fget +ftp_fput +ftp_get +ftp_get_option +ftp_login +ftp_mdtm +ftp_mkdir +ftp_nb_continue +ftp_nb_fget +ftp_nb_fput +ftp_nb_get +ftp_nb_put +ftp_nlist +ftp_pasv +ftp_put +ftp_pwd +ftp_quit +ftp_raw +ftp_rawlist +ftp_rename +ftp_rmdir +ftp_set_option +ftp_site +ftp_size +ftp_ssl_connect +ftp_systype +ftruncate +func_get_arg +func_get_args +func_num_args +function_exists +fwrite +gd_info +get_browser +get_cfg_var +get_class +get_class_methods +get_class_vars +get_current_user +get_declared_classes +get_defined_constants +get_defined_functions +get_defined_vars +get_extension_funcs +get_html_translation_table +get_include_path +get_included_files +get_loaded_extensions +get_magic_quotes_gpc +get_magic_quotes_runtime +get_meta_tags +get_object_vars +get_parent_class +get_required_files +get_resource_type +getallheaders +getcwd +getdate +getenv +gethostbyaddr +gethostbyname +gethostbynamel +getimagesize +getlastmod +getmxrr +getmygid +getmyinode +getmypid +getmyuid +getopt +getprotobyname +getprotobynumber +getrandmax +getrusage +getservbyname +getservbyport +gettext +gettimeofday +gettype +glob +gmdate +gmmktime +gmp_abs +gmp_add +gmp_and +gmp_clrbit +gmp_cmp +gmp_com +gmp_div +gmp_div_q +gmp_div_qr +gmp_div_r +gmp_divexact +gmp_fact +gmp_gcd +gmp_gcdext +gmp_hamdist +gmp_init +gmp_intval +gmp_invert +gmp_jacobi +gmp_legendre +gmp_mod +gmp_mul +gmp_neg +gmp_or +gmp_perfect_square +gmp_popcount +gmp_pow +gmp_powm +gmp_prob_prime +gmp_random +gmp_scan0 +gmp_scan1 +gmp_setbit +gmp_sign +gmp_sqrt +gmp_sqrtrem +gmp_sqrtrm +gmp_strval +gmp_sub +gmp_xor +gmstrftime +gregoriantojd +gzclose +gzcompress +gzdeflate +gzencode +gzeof +gzfile +gzgetc +gzgets +gzgetss +gzinflate +gzopen +gzpassthru +gzputs +gzread +gzrewind +gzseek +gztell +gzuncompress +gzwrite +header +headers_list +headers_sent +hebrev +hebrevc +hexdec +highlight_file +highlight_string +html_entity_decode +htmlentities +htmlspecialchars +http_build_query +hw_Array2Objrec +hw_Children +hw_ChildrenObj +hw_Close +hw_Connect +hw_Deleteobject +hw_DocByAnchor +hw_DocByAnchorObj +hw_Document_Attributes +hw_Document_BodyTag +hw_Document_Content +hw_Document_SetContent +hw_Document_Size +hw_EditText +hw_Error +hw_ErrorMsg +hw_Free_Document +hw_GetAnchors +hw_GetAnchorsObj +hw_GetAndLock +hw_GetChildColl +hw_GetChildCollObj +hw_GetChildDocColl +hw_GetChildDocCollObj +hw_GetObject +hw_GetObjectByQuery +hw_GetObjectByQueryColl +hw_GetObjectByQueryCollObj +hw_GetObjectByQueryObj +hw_GetParents +hw_GetParentsObj +hw_GetRemote +hw_GetSrcByDestObj +hw_GetText +hw_Identify +hw_InCollections +hw_Info +hw_InsColl +hw_InsDoc +hw_InsertDocument +hw_InsertObject +hw_Modifyobject +hw_New_Document +hw_Output_Document +hw_PipeDocument +hw_Root +hw_Unlock +hw_Who +hw_api_attribute +hw_api_content +hw_api_object +hw_changeobject +hw_connection_info +hw_cp +hw_dummy +hw_getrellink +hw_getremotechildren +hw_getusername +hw_insertanchors +hw_mapid +hw_mv +hw_objrec2array +hw_pConnect +hw_setlinkroot +hw_stat +hwapi_hgcsp +hypot +ibase_add_user +ibase_affected_rows +ibase_blob_add +ibase_blob_cancel +ibase_blob_close +ibase_blob_create +ibase_blob_echo +ibase_blob_get +ibase_blob_import +ibase_blob_info +ibase_blob_open +ibase_close +ibase_commit +ibase_commit_ret +ibase_connect +ibase_delete_user +ibase_drop_db +ibase_errcode +ibase_errmsg +ibase_execute +ibase_fetch_assoc +ibase_fetch_object +ibase_fetch_row +ibase_field_info +ibase_free_event_handler +ibase_free_query +ibase_free_result +ibase_gen_id +ibase_modify_user +ibase_name_result +ibase_num_fields +ibase_num_params +ibase_param_info +ibase_pconnect +ibase_prepare +ibase_query +ibase_rollback +ibase_rollback_ret +ibase_set_event_handler +ibase_timefmt +ibase_trans +ibase_wait_event +iconv +iconv_get_encoding +iconv_mime_decode +iconv_mime_decode_headers +iconv_mime_encode +iconv_set_encoding +iconv_strlen +iconv_strpos +iconv_strrpos +iconv_substr +ifx_affected_rows +ifx_blobinfile_mode +ifx_byteasvarchar +ifx_close +ifx_connect +ifx_copy_blob +ifx_create_blob +ifx_create_char +ifx_do +ifx_error +ifx_errormsg +ifx_fetch_row +ifx_fieldproperties +ifx_fieldtypes +ifx_free_blob +ifx_free_char +ifx_free_result +ifx_get_blob +ifx_get_char +ifx_getsqlca +ifx_htmltbl_result +ifx_nullformat +ifx_num_fields +ifx_num_rows +ifx_pconnect +ifx_prepare +ifx_query +ifx_textasvarchar +ifx_update_blob +ifx_update_char +ifxus_close_slob +ifxus_create_slob +ifxus_free_slob +ifxus_open_slob +ifxus_read_slob +ifxus_seek_slob +ifxus_tell_slob +ifxus_write_slob +ignore_user_abort +image2wbmp +image_type_to_mime_type +imagealphablending +imageantialias +imagearc +imagechar +imagecharup +imagecolorallocate +imagecolorallocatealpha +imagecolorat +imagecolorclosest +imagecolorclosestalpha +imagecolorclosesthwb +imagecolordeallocate +imagecolorexact +imagecolorexactalpha +imagecolormatch +imagecolorresolve +imagecolorresolvealpha +imagecolorset +imagecolorsforindex +imagecolorstotal +imagecolortransparent +imagecopy +imagecopymerge +imagecopymergegray +imagecopyresampled +imagecopyresized +imagecreate +imagecreatefromgd +imagecreatefromgd2 +imagecreatefromgd2part +imagecreatefromgif +imagecreatefromjpeg +imagecreatefrompng +imagecreatefromstring +imagecreatefromwbmp +imagecreatefromxbm +imagecreatefromxpm +imagecreatetruecolor +imagedashedline +imagedestroy +imageellipse +imagefill +imagefilledarc +imagefilledellipse +imagefilledpolygon +imagefilledrectangle +imagefilltoborder +imagefontheight +imagefontwidth +imageftbbox +imagefttext +imagegammacorrect +imagegd +imagegd2 +imagegif +imageinterlace +imageistruecolor +imagejpeg +imageline +imageloadfont +imagepalettecopy +imagepng +imagepolygon +imagepsbbox +imagepscopyfont +imagepsencodefont +imagepsextendfont +imagepsfreefont +imagepsloadfont +imagepsslantfont +imagepstext +imagerectangle +imagerotate +imagesavealpha +imagesetbrush +imagesetpixel +imagesetstyle +imagesetthickness +imagesettile +imagestring +imagestringup +imagesx +imagesy +imagetruecolortopalette +imagettfbbox +imagettftext +imagetypes +imagewbmp +imap_8bit +imap_alerts +imap_append +imap_base64 +imap_binary +imap_body +imap_bodystruct +imap_check +imap_clearflag_full +imap_close +imap_createmailbox +imap_delete +imap_deletemailbox +imap_errors +imap_expunge +imap_fetch_overview +imap_fetchbody +imap_fetchheader +imap_fetchstructure +imap_get_quota +imap_get_quotaroot +imap_getacl +imap_getmailboxes +imap_getsubscribed +imap_header +imap_headerinfo +imap_headers +imap_last_error +imap_list +imap_listmailbox +imap_listscan +imap_listsubscribed +imap_lsub +imap_mail +imap_mail_compose +imap_mail_copy +imap_mail_move +imap_mailboxmsginfo +imap_mime_header_decode +imap_msgno +imap_num_msg +imap_num_recent +imap_open +imap_ping +imap_qprint +imap_renamemailbox +imap_reopen +imap_rfc822_parse_adrlist +imap_rfc822_parse_headers +imap_rfc822_write_address +imap_scanmailbox +imap_search +imap_set_quota +imap_setacl +imap_setflag_full +imap_sort +imap_status +imap_subscribe +imap_thread +imap_timeout +imap_uid +imap_undelete +imap_unsubscribe +imap_utf7_decode +imap_utf7_encode +imap_utf8 +implode +import_request_variables +in_array +ingres_autocommit +ingres_close +ingres_commit +ingres_connect +ingres_fetch_array +ingres_fetch_object +ingres_fetch_row +ingres_field_length +ingres_field_name +ingres_field_nullable +ingres_field_precision +ingres_field_scale +ingres_field_type +ingres_num_fields +ingres_num_rows +ingres_pconnect +ingres_query +ingres_rollback +ini_alter +ini_get +ini_get_all +ini_restore +ini_set +intval +ip2long +iptcembed +iptcparse +ircg_channel_mode +ircg_disconnect +ircg_fetch_error_msg +ircg_get_username +ircg_html_encode +ircg_ignore_add +ircg_ignore_del +ircg_is_conn_alive +ircg_join +ircg_kick +ircg_lookup_format_messages +ircg_msg +ircg_nick +ircg_nickname_escape +ircg_nickname_unescape +ircg_notice +ircg_part +ircg_pconnect +ircg_register_format_messages +ircg_set_current +ircg_set_file +ircg_set_on_die +ircg_topic +ircg_whois +is_a +is_array +is_bool +is_callable +is_dir +is_double +is_executable +is_file +is_finite +is_float +is_infinite +is_int +is_integer +is_link +is_long +is_nan +is_null +is_numeric +is_object +is_readable +is_real +is_resource +is_scalar +is_string +is_subclass_of +is_uploaded_file +is_writable +is_writeable +isset +java_last_exception_clear +java_last_exception_get +jddayofweek +jdmonthname +jdtofrench +jdtogregorian +jdtojewish +jdtojulian +jdtounix +jewishtojd +join +jpeg2wbmp +juliantojd +key +krsort +ksort +lcg_value +ldap_8859_to_t61 +ldap_add +ldap_bind +ldap_close +ldap_compare +ldap_connect +ldap_count_entries +ldap_delete +ldap_dn2ufn +ldap_err2str +ldap_errno +ldap_error +ldap_explode_dn +ldap_first_attribute +ldap_first_entry +ldap_first_reference +ldap_free_result +ldap_get_attributes +ldap_get_dn +ldap_get_entries +ldap_get_option +ldap_get_values +ldap_get_values_len +ldap_list +ldap_mod_add +ldap_mod_del +ldap_mod_replace +ldap_modify +ldap_next_attribute +ldap_next_entry +ldap_next_reference +ldap_parse_reference +ldap_parse_result +ldap_read +ldap_rename +ldap_search +ldap_set_option +ldap_set_rebind_proc +ldap_sort +ldap_start_tls +ldap_t61_to_8859 +ldap_unbind +levenshtein +link +linkinfo +list +localeconv +localtime +log +log10 +log1p +long2ip +lstat +ltrim +lzf_compress +lzf_decompress +lzf_optimized_for +mail +mailparse_determine_best_xfer_encoding +mailparse_msg_create +mailparse_msg_extract_part +mailparse_msg_extract_part_file +mailparse_msg_free +mailparse_msg_get_part +mailparse_msg_get_part_data +mailparse_msg_get_structure +mailparse_msg_parse +mailparse_msg_parse_file +mailparse_rfc822_parse_addresses +mailparse_stream_encode +mailparse_uudecode_all +main +max +mb_convert_case +mb_convert_encoding +mb_convert_kana +mb_convert_variables +mb_decode_mimeheader +mb_decode_numericentity +mb_detect_encoding +mb_detect_order +mb_encode_mimeheader +mb_encode_numericentity +mb_ereg +mb_ereg_match +mb_ereg_replace +mb_ereg_search +mb_ereg_search_getpos +mb_ereg_search_getregs +mb_ereg_search_init +mb_ereg_search_pos +mb_ereg_search_regs +mb_ereg_search_setpos +mb_eregi +mb_eregi_replace +mb_get_info +mb_http_input +mb_http_output +mb_internal_encoding +mb_language +mb_output_handler +mb_parse_str +mb_preferred_mime_name +mb_regex_encoding +mb_regex_set_options +mb_send_mail +mb_split +mb_strcut +mb_strimwidth +mb_strlen +mb_strpos +mb_strrpos +mb_strtolower +mb_strtoupper +mb_strwidth +mb_substitute_character +mb_substr +mb_substr_count +mcal_append_event +mcal_close +mcal_create_calendar +mcal_date_compare +mcal_date_valid +mcal_day_of_week +mcal_day_of_year +mcal_days_in_month +mcal_delete_calendar +mcal_delete_event +mcal_event_add_attribute +mcal_event_init +mcal_event_set_alarm +mcal_event_set_category +mcal_event_set_class +mcal_event_set_description +mcal_event_set_end +mcal_event_set_recur_daily +mcal_event_set_recur_monthly_mday +mcal_event_set_recur_monthly_wday +mcal_event_set_recur_none +mcal_event_set_recur_weekly +mcal_event_set_recur_yearly +mcal_event_set_start +mcal_event_set_title +mcal_expunge +mcal_fetch_current_stream_event +mcal_fetch_event +mcal_is_leap_year +mcal_list_alarms +mcal_list_events +mcal_next_recurrence +mcal_open +mcal_popen +mcal_rename_calendar +mcal_reopen +mcal_snooze +mcal_store_event +mcal_time_valid +mcal_week_of_year +mcrypt_cbc +mcrypt_cfb +mcrypt_create_iv +mcrypt_decrypt +mcrypt_ecb +mcrypt_enc_get_algorithms_name +mcrypt_enc_get_block_size +mcrypt_enc_get_iv_size +mcrypt_enc_get_key_size +mcrypt_enc_get_modes_name +mcrypt_enc_get_supported_key_sizes +mcrypt_enc_is_block_algorithm +mcrypt_enc_is_block_algorithm_mode +mcrypt_enc_is_block_mode +mcrypt_enc_self_test +mcrypt_encrypt +mcrypt_generic +mcrypt_generic_deinit +mcrypt_generic_end +mcrypt_generic_init +mcrypt_get_block_size +mcrypt_get_cipher_name +mcrypt_get_iv_size +mcrypt_get_key_size +mcrypt_list_algorithms +mcrypt_list_modes +mcrypt_module_close +mcrypt_module_get_algo_block_size +mcrypt_module_get_algo_key_size +mcrypt_module_get_supported_key_sizes +mcrypt_module_is_block_algorithm +mcrypt_module_is_block_algorithm_mode +mcrypt_module_is_block_mode +mcrypt_module_open +mcrypt_module_self_test +mcrypt_ofb +mcve_adduser +mcve_adduserarg +mcve_bt +mcve_checkstatus +mcve_chkpwd +mcve_chngpwd +mcve_completeauthorizations +mcve_connect +mcve_connectionerror +mcve_deleteresponse +mcve_deletetrans +mcve_deleteusersetup +mcve_deluser +mcve_destroyconn +mcve_destroyengine +mcve_disableuser +mcve_edituser +mcve_enableuser +mcve_force +mcve_getcell +mcve_getcellbynum +mcve_getcommadelimited +mcve_getheader +mcve_getuserarg +mcve_getuserparam +mcve_gft +mcve_gl +mcve_gut +mcve_initconn +mcve_initengine +mcve_initusersetup +mcve_iscommadelimited +mcve_liststats +mcve_listusers +mcve_maxconntimeout +mcve_monitor +mcve_numcolumns +mcve_numrows +mcve_override +mcve_parsecommadelimited +mcve_ping +mcve_preauth +mcve_preauthcompletion +mcve_qc +mcve_responseparam +mcve_return +mcve_returncode +mcve_returnstatus +mcve_sale +mcve_setblocking +mcve_setdropfile +mcve_setip +mcve_setssl +mcve_setssl_files +mcve_settimeout +mcve_settle +mcve_text_avs +mcve_text_code +mcve_text_cv +mcve_transactionauth +mcve_transactionavs +mcve_transactionbatch +mcve_transactioncv +mcve_transactionid +mcve_transactionitem +mcve_transactionssent +mcve_transactiontext +mcve_transinqueue +mcve_transnew +mcve_transparam +mcve_transsend +mcve_ub +mcve_uwait +mcve_verifyconnection +mcve_verifysslcert +mcve_void +md5 +md5_file +mdecrypt_generic +memory_get_usage +metaphone +method_exists +mhash +mhash_count +mhash_get_block_size +mhash_get_hash_name +mhash_keygen_s2k +microtime +mime_content_type +min +ming_setcubicthreshold +ming_setscale +ming_useswfversion +mkdir +mktime +money_format +move_uploaded_file +msession_connect +msession_count +msession_create +msession_destroy +msession_disconnect +msession_find +msession_get +msession_get_array +msession_getdata +msession_inc +msession_list +msession_listvar +msession_lock +msession_plugin +msession_randstr +msession_set +msession_set_array +msession_setdata +msession_timeout +msession_uniq +msession_unlock +msg_get_queue +msg_receive +msg_remove_queue +msg_send +msg_set_queue +msg_stat_queue +msql +msql_affected_rows +msql_close +msql_connect +msql_create_db +msql_createdb +msql_data_seek +msql_dbname +msql_drop_db +msql_dropdb +msql_error +msql_fetch_array +msql_fetch_field +msql_fetch_object +msql_fetch_row +msql_field_seek +msql_fieldflags +msql_fieldlen +msql_fieldname +msql_fieldtable +msql_fieldtype +msql_free_result +msql_freeresult +msql_list_dbs +msql_list_fields +msql_list_tables +msql_listdbs +msql_listfields +msql_listtables +msql_num_fields +msql_num_rows +msql_numfields +msql_numrows +msql_pconnect +msql_query +msql_regcase +msql_result +msql_select_db +msql_selectdb +msql_tablename +mssql_bind +mssql_close +mssql_connect +mssql_data_seek +mssql_execute +mssql_fetch_array +mssql_fetch_assoc +mssql_fetch_batch +mssql_fetch_field +mssql_fetch_object +mssql_fetch_row +mssql_field_length +mssql_field_name +mssql_field_seek +mssql_field_type +mssql_free_result +mssql_free_statement +mssql_get_last_message +mssql_guid_string +mssql_init +mssql_min_error_severity +mssql_min_message_severity +mssql_next_result +mssql_num_fields +mssql_num_rows +mssql_pconnect +mssql_query +mssql_result +mssql_rows_affected +mssql_select_db +mt_getrandmax +mt_rand +mt_srand +muscat_close +muscat_get +muscat_give +muscat_setup +muscat_setup_net +mysql_affected_rows +mysql_change_user +mysql_client_encoding +mysql_close +mysql_connect +mysql_create_db +mysql_data_seek +mysql_db_name +mysql_db_query +mysql_drop_db +mysql_errno +mysql_error +mysql_escape_string +mysql_fetch_array +mysql_fetch_assoc +mysql_fetch_field +mysql_fetch_lengths +mysql_fetch_object +mysql_fetch_row +mysql_field_flags +mysql_field_len +mysql_field_name +mysql_field_seek +mysql_field_table +mysql_field_type +mysql_free_result +mysql_get_client_info +mysql_get_host_info +mysql_get_proto_info +mysql_get_server_info +mysql_info +mysql_insert_id +mysql_list_dbs +mysql_list_fields +mysql_list_processes +mysql_list_tables +mysql_num_fields +mysql_num_rows +mysql_pconnect +mysql_ping +mysql_query +mysql_real_escape_string +mysql_result +mysql_select_db +mysql_stat +mysql_tablename +mysql_thread_id +mysql_unbuffered_query +mysqli_affected_rows +mysqli_autocommit +mysqli_bind_param +mysqli_bind_result +mysqli_change_user +mysqli_character_set_name +mysqli_close +mysqli_commit +mysqli_connect +mysqli_data_seek +mysqli_debug +mysqli_disable_reads_from_master +mysqli_disable_rpl_parse +mysqli_dump_debug_info +mysqli_enable_reads_from_master +mysqli_enable_rpl_parse +mysqli_errno +mysqli_error +mysqli_execute +mysqli_fetch +mysqli_fetch_array +mysqli_fetch_assoc +mysqli_fetch_field +mysqli_fetch_field_direct +mysqli_fetch_fields +mysqli_fetch_lengths +mysqli_fetch_object +mysqli_fetch_row +mysqli_field_count +mysqli_field_seek +mysqli_field_tell +mysqli_free_result +mysqli_get_client_info +mysqli_get_host_info +mysqli_get_proto_info +mysqli_get_server_info +mysqli_get_server_version +mysqli_info +mysqli_init +mysqli_insert_id +mysqli_kill +mysqli_master_query +mysqli_num_fields +mysqli_num_rows +mysqli_options +mysqli_param_count +mysqli_ping +mysqli_prepare +mysqli_prepare_result +mysqli_profiler +mysqli_query +mysqli_read_query_result +mysqli_real_connect +mysqli_real_escape_string +mysqli_real_query +mysqli_reload +mysqli_rollback +mysqli_rpl_parse_enabled +mysqli_rpl_probe +mysqli_rpl_query_type +mysqli_select_db +mysqli_send_long_data +mysqli_send_query +mysqli_slave_query +mysqli_ssl_set +mysqli_stat +mysqli_stmt_affected_rows +mysqli_stmt_close +mysqli_stmt_errno +mysqli_stmt_error +mysqli_stmt_store_result +mysqli_store_result +mysqli_thread_id +mysqli_thread_safe +mysqli_use_result +mysqli_warning_count +natcasesort +natsort +ncurses_addch +ncurses_addchnstr +ncurses_addchstr +ncurses_addnstr +ncurses_addstr +ncurses_assume_default_colors +ncurses_attroff +ncurses_attron +ncurses_attrset +ncurses_baudrate +ncurses_beep +ncurses_bkgd +ncurses_bkgdset +ncurses_border +ncurses_bottom_panel +ncurses_can_change_color +ncurses_cbreak +ncurses_clear +ncurses_clrtobot +ncurses_clrtoeol +ncurses_color_content +ncurses_color_set +ncurses_curs_set +ncurses_def_prog_mode +ncurses_def_shell_mode +ncurses_define_key +ncurses_del_panel +ncurses_delay_output +ncurses_delch +ncurses_deleteln +ncurses_delwin +ncurses_doupdate +ncurses_echo +ncurses_echochar +ncurses_end +ncurses_erase +ncurses_erasechar +ncurses_filter +ncurses_flash +ncurses_flushinp +ncurses_getch +ncurses_getmaxyx +ncurses_getmouse +ncurses_getyx +ncurses_halfdelay +ncurses_has_colors +ncurses_has_ic +ncurses_has_il +ncurses_has_key +ncurses_hide_panel +ncurses_hline +ncurses_inch +ncurses_init +ncurses_init_color +ncurses_init_pair +ncurses_insch +ncurses_insdelln +ncurses_insertln +ncurses_insstr +ncurses_instr +ncurses_isendwin +ncurses_keyok +ncurses_keypad +ncurses_killchar +ncurses_longname +ncurses_meta +ncurses_mouse_trafo +ncurses_mouseinterval +ncurses_mousemask +ncurses_move +ncurses_move_panel +ncurses_mvaddch +ncurses_mvaddchnstr +ncurses_mvaddchstr +ncurses_mvaddnstr +ncurses_mvaddstr +ncurses_mvcur +ncurses_mvdelch +ncurses_mvgetch +ncurses_mvhline +ncurses_mvinch +ncurses_mvvline +ncurses_mvwaddstr +ncurses_napms +ncurses_new_panel +ncurses_newpad +ncurses_newwin +ncurses_nl +ncurses_nocbreak +ncurses_noecho +ncurses_nonl +ncurses_noqiflush +ncurses_noraw +ncurses_pair_content +ncurses_panel_above +ncurses_panel_below +ncurses_panel_window +ncurses_pnoutrefresh +ncurses_prefresh +ncurses_putp +ncurses_qiflush +ncurses_raw +ncurses_refresh +ncurses_replace_panel +ncurses_reset_prog_mode +ncurses_reset_shell_mode +ncurses_resetty +ncurses_savetty +ncurses_scr_dump +ncurses_scr_init +ncurses_scr_restore +ncurses_scr_set +ncurses_scrl +ncurses_show_panel +ncurses_slk_attr +ncurses_slk_attroff +ncurses_slk_attron +ncurses_slk_attrset +ncurses_slk_clear +ncurses_slk_color +ncurses_slk_init +ncurses_slk_noutrefresh +ncurses_slk_refresh +ncurses_slk_restore +ncurses_slk_set +ncurses_slk_touch +ncurses_standend +ncurses_standout +ncurses_start_color +ncurses_termattrs +ncurses_termname +ncurses_timeout +ncurses_top_panel +ncurses_typeahead +ncurses_ungetch +ncurses_ungetmouse +ncurses_update_panels +ncurses_use_default_colors +ncurses_use_env +ncurses_use_extended_names +ncurses_vidattr +ncurses_vline +ncurses_waddch +ncurses_waddstr +ncurses_wattroff +ncurses_wattron +ncurses_wattrset +ncurses_wborder +ncurses_wclear +ncurses_wcolor_set +ncurses_werase +ncurses_wgetch +ncurses_whline +ncurses_wmouse_trafo +ncurses_wmove +ncurses_wnoutrefresh +ncurses_wrefresh +ncurses_wstandend +ncurses_wstandout +ncurses_wvline +next +ngettext +nl2br +nl_langinfo +notes_body +notes_copy_db +notes_create_db +notes_create_note +notes_drop_db +notes_find_note +notes_header_info +notes_list_msgs +notes_mark_read +notes_mark_unread +notes_nav_create +notes_search +notes_unread +notes_version +nsapi_request_headers +nsapi_response_headers +nsapi_virtual +number_format +ob_clean +ob_end_clean +ob_end_flush +ob_flush +ob_get_clean +ob_get_contents +ob_get_flush +ob_get_length +ob_get_level +ob_get_status +ob_gzhandler +ob_iconv_handler +ob_implicit_flush +ob_list_handlers +ob_start +ocibindbyname +ocicancel +ocicloselob +ocicollappend +ocicollassign +ocicollassignelem +ocicollgetelem +ocicollmax +ocicollsize +ocicolltrim +ocicolumnisnull +ocicolumnname +ocicolumnprecision +ocicolumnscale +ocicolumnsize +ocicolumntype +ocicolumntyperaw +ocicommit +ocidefinebyname +ocierror +ociexecute +ocifetch +ocifetchinto +ocifetchstatement +ocifreecollection +ocifreecursor +ocifreedesc +ocifreestatement +ociinternaldebug +ociloadlob +ocilogoff +ocilogon +ocinewcollection +ocinewcursor +ocinewdescriptor +ocinlogon +ocinumcols +ociparse +ociplogon +ociresult +ocirollback +ocirowcount +ocisavelob +ocisavelobfile +ociserverversion +ocisetprefetch +ocistatementtype +ociwritelobtofile +ociwritetemporarylob +octdec +odbc_autocommit +odbc_binmode +odbc_close +odbc_close_all +odbc_columnprivileges +odbc_columns +odbc_commit +odbc_connect +odbc_cursor +odbc_data_source +odbc_do +odbc_error +odbc_errormsg +odbc_exec +odbc_execute +odbc_fetch_array +odbc_fetch_into +odbc_fetch_object +odbc_fetch_row +odbc_field_len +odbc_field_name +odbc_field_num +odbc_field_precision +odbc_field_scale +odbc_field_type +odbc_foreignkeys +odbc_free_result +odbc_gettypeinfo +odbc_longreadlen +odbc_next_result +odbc_num_fields +odbc_num_rows +odbc_pconnect +odbc_prepare +odbc_primarykeys +odbc_procedurecolumns +odbc_procedures +odbc_result +odbc_result_all +odbc_rollback +odbc_setoption +odbc_specialcolumns +odbc_statistics +odbc_tableprivileges +odbc_tables +opendir +openlog +openssl_csr_export +openssl_csr_export_to_file +openssl_csr_new +openssl_csr_sign +openssl_error_string +openssl_free_key +openssl_get_privatekey +openssl_get_publickey +openssl_open +openssl_pkcs7_decrypt +openssl_pkcs7_encrypt +openssl_pkcs7_sign +openssl_pkcs7_verify +openssl_pkey_export +openssl_pkey_export_to_file +openssl_pkey_get_private +openssl_pkey_get_public +openssl_pkey_new +openssl_private_decrypt +openssl_private_encrypt +openssl_public_decrypt +openssl_public_encrypt +openssl_seal +openssl_sign +openssl_verify +openssl_x509_check_private_key +openssl_x509_checkpurpose +openssl_x509_export +openssl_x509_export_to_file +openssl_x509_free +openssl_x509_parse +openssl_x509_read +ora_bind +ora_close +ora_columnname +ora_columnsize +ora_columntype +ora_commit +ora_commitoff +ora_commiton +ora_do +ora_error +ora_errorcode +ora_exec +ora_fetch +ora_fetch_into +ora_getcolumn +ora_logoff +ora_logon +ora_numcols +ora_numrows +ora_open +ora_parse +ora_plogon +ora_rollback +ord +output_add_rewrite_var +output_reset_rewrite_vars +overload +ovrimos_close +ovrimos_commit +ovrimos_connect +ovrimos_cursor +ovrimos_exec +ovrimos_execute +ovrimos_fetch_into +ovrimos_fetch_row +ovrimos_field_len +ovrimos_field_name +ovrimos_field_num +ovrimos_field_type +ovrimos_free_result +ovrimos_longreadlen +ovrimos_num_fields +ovrimos_num_rows +ovrimos_prepare +ovrimos_result +ovrimos_result_all +ovrimos_rollback +pack +page_close +page_open +parse_ini_file +parse_str +parse_url +passthru +pathinfo +pclose +pcntl_exec +pcntl_fork +pcntl_signal +pcntl_waitpid +pcntl_wexitstatus +pcntl_wifexited +pcntl_wifsignaled +pcntl_wifstopped +pcntl_wstopsig +pcntl_wtermsig +pdf_add_annotation +pdf_add_bookmark +pdf_add_launchlink +pdf_add_locallink +pdf_add_note +pdf_add_outline +pdf_add_pdflink +pdf_add_thumbnail +pdf_add_weblink +pdf_arc +pdf_arcn +pdf_attach_file +pdf_begin_page +pdf_begin_pattern +pdf_begin_template +pdf_circle +pdf_clip +pdf_close +pdf_close_image +pdf_close_pdi +pdf_close_pdi_page +pdf_closepath +pdf_closepath_fill_stroke +pdf_closepath_stroke +pdf_concat +pdf_continue_text +pdf_curveto +pdf_delete +pdf_end_page +pdf_end_pattern +pdf_end_template +pdf_endpath +pdf_fill +pdf_fill_stroke +pdf_findfont +pdf_get_buffer +pdf_get_font +pdf_get_fontname +pdf_get_fontsize +pdf_get_image_height +pdf_get_image_width +pdf_get_majorversion +pdf_get_minorversion +pdf_get_parameter +pdf_get_pdi_parameter +pdf_get_pdi_value +pdf_get_value +pdf_initgraphics +pdf_lineto +pdf_makespotcolor +pdf_moveto +pdf_new +pdf_open +pdf_open_CCITT +pdf_open_file +pdf_open_gif +pdf_open_image +pdf_open_image_file +pdf_open_jpeg +pdf_open_memory_image +pdf_open_pdi +pdf_open_pdi_page +pdf_open_png +pdf_open_tiff +pdf_place_image +pdf_place_pdi_page +pdf_rect +pdf_restore +pdf_rotate +pdf_save +pdf_scale +pdf_set_border_color +pdf_set_border_dash +pdf_set_border_style +pdf_set_char_spacing +pdf_set_duration +pdf_set_font +pdf_set_horiz_scaling +pdf_set_info +pdf_set_info_author +pdf_set_info_creator +pdf_set_info_keywords +pdf_set_info_subject +pdf_set_info_title +pdf_set_leading +pdf_set_parameter +pdf_set_text_matrix +pdf_set_text_pos +pdf_set_text_rendering +pdf_set_text_rise +pdf_set_value +pdf_set_word_spacing +pdf_setcolor +pdf_setdash +pdf_setflat +pdf_setfont +pdf_setgray +pdf_setgray_fill +pdf_setgray_stroke +pdf_setlinecap +pdf_setlinejoin +pdf_setlinewidth +pdf_setmatrix +pdf_setmiterlimit +pdf_setpolydash +pdf_setrgbcolor +pdf_setrgbcolor_fill +pdf_setrgbcolor_stroke +pdf_show +pdf_show_boxed +pdf_show_xy +pdf_skew +pdf_stringwidth +pdf_stroke +pdf_translate +pfpro_cleanup +pfpro_init +pfpro_process +pfpro_process_raw +pfpro_version +pfsockopen +pg_affected_rows +pg_cancel_query +pg_client_encoding +pg_close +pg_connect +pg_connection_busy +pg_connection_reset +pg_connection_status +pg_convert +pg_copy_from +pg_copy_to +pg_dbname +pg_delete +pg_end_copy +pg_escape_bytea +pg_escape_string +pg_fetch_all +pg_fetch_array +pg_fetch_assoc +pg_fetch_object +pg_fetch_result +pg_fetch_row +pg_field_is_null +pg_field_name +pg_field_num +pg_field_prtlen +pg_field_size +pg_field_type +pg_free_result +pg_get_notify +pg_get_pid +pg_get_result +pg_host +pg_insert +pg_last_error +pg_last_notice +pg_last_oid +pg_lo_close +pg_lo_create +pg_lo_export +pg_lo_import +pg_lo_open +pg_lo_read +pg_lo_read_all +pg_lo_seek +pg_lo_tell +pg_lo_unlink +pg_lo_write +pg_meta_data +pg_num_fields +pg_num_rows +pg_options +pg_pconnect +pg_ping +pg_port +pg_put_line +pg_query +pg_result_error +pg_result_seek +pg_result_status +pg_select +pg_send_query +pg_set_client_encoding +pg_trace +pg_tty +pg_unescape_bytea +pg_untrace +pg_update +php_ini_scanned_files +php_logo_guid +php_sapi_name +php_uname +phpcredits +phpinfo +phpversion +pi +png2wbmp +popen +pos +posix_ctermid +posix_get_last_error +posix_getcwd +posix_getegid +posix_geteuid +posix_getgid +posix_getgrgid +posix_getgrnam +posix_getgroups +posix_getlogin +posix_getpgid +posix_getpgrp +posix_getpid +posix_getppid +posix_getpwnam +posix_getpwuid +posix_getrlimit +posix_getsid +posix_getuid +posix_isatty +posix_kill +posix_mkfifo +posix_setegid +posix_seteuid +posix_setgid +posix_setpgid +posix_setsid +posix_setuid +posix_strerror +posix_times +posix_ttyname +posix_uname +pow +preg_grep +preg_match +preg_match_all +preg_quote +preg_replace +preg_replace_callback +preg_split +prev +print +print_r +printer_abort +printer_close +printer_create_brush +printer_create_dc +printer_create_font +printer_create_pen +printer_delete_brush +printer_delete_dc +printer_delete_font +printer_delete_pen +printer_draw_bmp +printer_draw_chord +printer_draw_elipse +printer_draw_line +printer_draw_pie +printer_draw_rectangle +printer_draw_roundrect +printer_draw_text +printer_end_doc +printer_end_page +printer_get_option +printer_list +printer_logical_fontheight +printer_open +printer_select_brush +printer_select_font +printer_select_pen +printer_set_option +printer_start_doc +printer_start_page +printer_write +printf +proc_close +proc_get_status +proc_nice +proc_open +proc_terminate +pspell_add_to_personal +pspell_add_to_session +pspell_check +pspell_clear_session +pspell_config_create +pspell_config_ignore +pspell_config_mode +pspell_config_personal +pspell_config_repl +pspell_config_runtogether +pspell_config_save_repl +pspell_new +pspell_new_config +pspell_new_personal +pspell_save_wordlist +pspell_store_replacement +pspell_suggest +putenv +qdom_error +qdom_tree +quoted_printable_decode +quotemeta +rad2deg +rand +range +rawurldecode +rawurlencode +read_exif_data +readdir +readfile +readgzfile +readline +readline_add_history +readline_clear_history +readline_completion_function +readline_info +readline_list_history +readline_read_history +readline_write_history +readlink +realpath +recode +recode_file +recode_string +register_shutdown_function +register_tick_function +rename +reset +restore_error_handler +restore_include_path +rewind +rewinddir +rmdir +round +rsort +rtrim +scandir +sem_acquire +sem_get +sem_release +sem_remove +serialize +sesam_affected_rows +sesam_commit +sesam_connect +sesam_diagnostic +sesam_disconnect +sesam_errormsg +sesam_execimm +sesam_fetch_array +sesam_fetch_result +sesam_fetch_row +sesam_field_array +sesam_field_name +sesam_free_result +sesam_num_fields +sesam_query +sesam_rollback +sesam_seek_row +sesam_settransaction +sess_load +sess_save +session_cache_expire +session_cache_limiter +session_decode +session_destroy +session_encode +session_get_cookie_params +session_id +session_is_registered +session_module_name +session_name +session_regenerate_id +session_register +session_save_path +session_set_cookie_params +session_set_save_handler +session_start +session_unregister +session_unset +session_write_close +set_error_handler +set_file_buffer +set_include_path +set_magic_quotes_runtime +set_time_limit +setcookie +setlocale +settype +sha1 +sha1_file +shell_exec +shm_attach +shm_detach +shm_get_var +shm_put_var +shm_remove +shm_remove_var +shmop_close +shmop_delete +shmop_open +shmop_read +shmop_size +shmop_write +show_source +shuffle +similar_text +sin +sinh +sizeof +sleep +snmp_get_quick_print +snmp_set_quick_print +snmpget +snmprealwalk +snmpset +snmpwalk +snmpwalkoid +socket_accept +socket_bind +socket_clear_error +socket_close +socket_connect +socket_create +socket_create_listen +socket_create_pair +socket_get_option +socket_get_status +socket_getpeername +socket_getsockname +socket_iovec_add +socket_iovec_alloc +socket_iovec_delete +socket_iovec_fetch +socket_iovec_free +socket_iovec_set +socket_last_error +socket_listen +socket_read +socket_readv +socket_recv +socket_recvfrom +socket_recvmsg +socket_select +socket_send +socket_sendmsg +socket_sendto +socket_set_block +socket_set_blocking +socket_set_nonblock +socket_set_option +socket_set_timeout +socket_shutdown +socket_strerror +socket_write +socket_writev +sort +soundex +split +spliti +sprintf +sql_regcase +sqlite_array_query +sqlite_busy_timeout +sqlite_changes +sqlite_close +sqlite_column +sqlite_create_aggregate +sqlite_create_function +sqlite_current +sqlite_error_string +sqlite_escape_string +sqlite_fetch_array +sqlite_fetch_single +sqlite_fetch_string +sqlite_field_name +sqlite_has_more +sqlite_last_error +sqlite_last_insert_rowid +sqlite_libencoding +sqlite_libversion +sqlite_next +sqlite_num_fields +sqlite_num_rows +sqlite_open +sqlite_popen +sqlite_query +sqlite_rewind +sqlite_seek +sqlite_udf_decode_binary +sqlite_udf_encode_binary +sqlite_unbuffered_query +sqrt +srand +sscanf +stat +str_ireplace +str_pad +str_repeat +str_replace +str_rot13 +str_shuffle +str_split +str_word_count +strcasecmp +strchr +strcmp +strcoll +strcspn +stream_context_create +stream_context_get_options +stream_context_set_option +stream_context_set_params +stream_copy_to_stream +stream_filter_append +stream_filter_prepend +stream_filter_register +stream_get_contents +stream_get_filters +stream_get_line +stream_get_meta_data +stream_get_transports +stream_get_wrappers +stream_register_wrapper +stream_select +stream_set_blocking +stream_set_timeout +stream_set_write_buffer +stream_socket_accept +stream_socket_client +stream_socket_get_name +stream_socket_recvfrom +stream_socket_sendto +stream_socket_server +stream_wrapper_register +strftime +strip_tags +stripcslashes +stripos +stripslashes +stristr +strlen +strnatcasecmp +strnatcmp +strncasecmp +strncmp +strpos +strrchr +strrev +strripos +strrpos +strspn +strstr +strtok +strtolower +strtotime +strtoupper +strtr +strval +substr +substr_compare +substr_count +substr_replace +swf_actiongeturl +swf_actiongotoframe +swf_actiongotolabel +swf_actionnextframe +swf_actionplay +swf_actionprevframe +swf_actionsettarget +swf_actionstop +swf_actiontogglequality +swf_actionwaitforframe +swf_addbuttonrecord +swf_addcolor +swf_closefile +swf_definebitmap +swf_definefont +swf_defineline +swf_definepoly +swf_definerect +swf_definetext +swf_endbutton +swf_enddoaction +swf_endshape +swf_endsymbol +swf_fontsize +swf_fontslant +swf_fonttracking +swf_getbitmapinfo +swf_getfontinfo +swf_getframe +swf_labelframe +swf_lookat +swf_modifyobject +swf_mulcolor +swf_nextid +swf_oncondition +swf_openfile +swf_ortho +swf_ortho2 +swf_perspective +swf_placeobject +swf_polarview +swf_popmatrix +swf_posround +swf_pushmatrix +swf_removeobject +swf_rotate +swf_scale +swf_setfont +swf_setframe +swf_shapearc +swf_shapecurveto +swf_shapecurveto3 +swf_shapefillbitmapclip +swf_shapefillbitmaptile +swf_shapefilloff +swf_shapefillsolid +swf_shapelinesolid +swf_shapelineto +swf_shapemoveto +swf_showframe +swf_startbutton +swf_startdoaction +swf_startshape +swf_startsymbol +swf_textwidth +swf_translate +swf_viewport +swfbutton_keypress +sybase_affected_rows +sybase_close +sybase_connect +sybase_data_seek +sybase_deadlock_retry_count +sybase_fetch_array +sybase_fetch_assoc +sybase_fetch_field +sybase_fetch_object +sybase_fetch_row +sybase_field_seek +sybase_free_result +sybase_get_last_message +sybase_min_client_severity +sybase_min_error_severity +sybase_min_message_severity +sybase_min_server_severity +sybase_num_fields +sybase_num_rows +sybase_pconnect +sybase_query +sybase_result +sybase_select_db +sybase_set_message_handler +sybase_unbuffered_query +symlink +syslog +system +tan +tanh +tempnam +textdomain +tidy_access_count +tidy_clean_repair +tidy_config_count +tidy_diagnose +tidy_error_count +tidy_get_body +tidy_get_config +tidy_get_error_buffer +tidy_get_head +tidy_get_html +tidy_get_html_ver +tidy_get_output +tidy_get_release +tidy_get_root +tidy_get_status +tidy_getopt +tidy_is_xhtml +tidy_load_config +tidy_parse_file +tidy_parse_string +tidy_repair_file +tidy_repair_string +tidy_reset_config +tidy_save_config +tidy_set_encoding +tidy_setopt +tidy_warning_count +time +tmpfile +token_get_all +token_name +touch +trigger_error +trim +uasort +ucfirst +ucwords +udm_add_search_limit +udm_alloc_agent +udm_api_version +udm_cat_list +udm_cat_path +udm_check_charset +udm_check_stored +udm_clear_search_limits +udm_close_stored +udm_crc32 +udm_errno +udm_error +udm_find +udm_free_agent +udm_free_ispell_data +udm_free_res +udm_get_doc_count +udm_get_res_field +udm_get_res_param +udm_load_ispell_data +udm_open_stored +udm_set_agent_param +uksort +umask +uniqid +unixtojd +unlink +unpack +unregister_tick_function +unserialize +unset +urldecode +urlencode +user_error +usleep +usort +utf8_decode +utf8_encode +var_dump +var_export +version_compare +virtual +vpopmail_add_alias_domain +vpopmail_add_alias_domain_ex +vpopmail_add_domain +vpopmail_add_domain_ex +vpopmail_add_user +vpopmail_alias_add +vpopmail_alias_del +vpopmail_alias_del_domain +vpopmail_alias_get +vpopmail_alias_get_all +vpopmail_auth_user +vpopmail_del_domain +vpopmail_del_domain_ex +vpopmail_del_user +vpopmail_error +vpopmail_passwd +vpopmail_set_user_quota +vprintf +vsprintf +w32api_deftype +w32api_init_dtype +w32api_invoke_function +w32api_register_function +w32api_set_call_method +wddx_add_vars +wddx_deserialize +wddx_packet_end +wddx_packet_start +wddx_serialize_value +wddx_serialize_vars +wordwrap +xml_error_string +xml_get_current_byte_index +xml_get_current_column_number +xml_get_current_line_number +xml_get_error_code +xml_parse +xml_parse_into_struct +xml_parser_create +xml_parser_create_ns +xml_parser_free +xml_parser_get_option +xml_parser_set_option +xml_set_character_data_handler +xml_set_default_handler +xml_set_element_handler +xml_set_end_namespace_decl_handler +xml_set_external_entity_ref_handler +xml_set_notation_decl_handler +xml_set_object +xml_set_processing_instruction_handler +xml_set_start_namespace_decl_handler +xml_set_unparsed_entity_decl_handler +xmlrpc_decode +xmlrpc_decode_request +xmlrpc_encode +xmlrpc_encode_request +xmlrpc_get_type +xmlrpc_parse_method_descriptions +xmlrpc_server_add_introspection_data +xmlrpc_server_call_method +xmlrpc_server_create +xmlrpc_server_destroy +xmlrpc_server_register_introspection_callback +xmlrpc_server_register_method +xmlrpc_set_type +xpath_eval +xpath_eval_expression +xpath_new_context +xptr_eval +xptr_new_context +xslt_create +xslt_errno +xslt_error +xslt_free +xslt_output_process +xslt_set_base +xslt_set_encoding +xslt_set_error_handler +xslt_set_log +xslt_set_sax_handler +xslt_set_sax_handlers +xslt_set_scheme_handler +xslt_set_scheme_handlers +yaz_addinfo +yaz_ccl_conf +yaz_ccl_parse +yaz_close +yaz_connect +yaz_database +yaz_element +yaz_errno +yaz_error +yaz_es_result +yaz_get_option +yaz_hits +yaz_itemorder +yaz_present +yaz_range +yaz_record +yaz_scan +yaz_scan_result +yaz_schema +yaz_search +yaz_set_option +yaz_sort +yaz_syntax +yaz_wait +yp_all +yp_cat +yp_err_string +yp_errno +yp_first +yp_get_default_domain +yp_master +yp_match +yp_next +yp_order +zend_logo_guid +zend_version +zip_close +zip_entry_close +zip_entry_compressedsize +zip_entry_compressionmethod +zip_entry_filesize +zip_entry_name +zip_entry_open +zip_entry_read +zip_open +zip_read +zlib_get_coding_type +: preprocessor +.default Preproc +include +require +include_once +require_once +__LINE__ +__FILE__ +__FUNCTION__ +__METHOD__ +__CLASS__ +: integer variables +.default Number +DEFAULT_INCLUDE_PATH +DOCUMENT_ROOT +E_ALL +E_COMPILE_ERROR +E_COMPILE_WARNING +E_CORE_ERROR +E_CORE_WARNING +E_ERROR +E_NOTICE +E_PARSE +E_USER_ERROR +E_USER_NOTICE +E_USER_WARNING +E_WARNING +GATEWAY_INTERFACE +HTTP_ACCEPT +HTTP_ACCEPT_CHARSET +HTTP_ACCEPT_LANGUAGE +HTTP_CONNECTION +HTTP_ENCODING +HTTP_HOST +HTTP_REFERER +HTTP_USER_AGENT +PATH_TRANSLATED +PEAR_EXTENSION_DIR +PEAR_INSTALL_DIR +PHP_BINDIR +PHP_CONFIG_FILE_PATH +PHP_DATADIR +PHP_EXTENSION_DIR +PHP_LIBDIR +PHP_LOCALSTATEDIR +PHP_OS +PHP_OUTPUT_HANDLER_CONT +PHP_OUTPUT_HANDLER_END +PHP_OUTPUT_HANDLER_START +PHP_SYSCONFDIR +PHP_VERSION +QUERY_STRING +REMOTE_ADDR +REMOTE_PORT +REQUEST_METHOD +REQUEST_URI +SCRIPT_FILENAME +SCRIPT_NAME +SERVER_ADMIN +SERVER_NAME +SERVER_PORT +SERVER_PROTOCOL +SERVER_SIGNATURE +SERVER_SOFTWARE +: +GLOBALS +HTTP_COOKIE_VARS +HTTP_ENV_VARS +HTTP_GET_VARS +HTTP_POST_FILES +HTTP_POST_VARS +HTTP_RAW_POST_DATA +HTTP_SERVER_VARS +HTTP_SESSION_VARS +HTTP_STATE_VARS +PHP_ERRMSG +PHP_SELF +_COOKIE +_ENV +_FILES +_GET +_POST +_REQUEST +_SERVER +_SESSION +: methods +.default Keyword +Rotate +add +addAction +addColor +addEntry +addFill +addShape +addString +add_namespace +add_query +addstring +affected_rows +align +append_child +append_sibling +assign +attreditable +attributes +auth_doregister +auth_loginform +auth_preauth +auth_refreshlogin +auth_registerform +auth_validatelogin +check +checkin +checkout +child_nodes +children +clone_node +connect +content +copy +count +create_attribute +create_cdata_section +create_comment +create_element +create_element_ns +create_entity_reference +create_processing_instruction +create_text_node +data +dbstat +dcstat +delete +description +doctype +document_element +drawCurve +drawCurveTo +drawLine +drawLineTo +dstanchors +dstofsrcanchors +dump_file +dump_mem +dump_node +entities +f +find +first_child +free +freeze +ftstat +gc +getHeight +getWidth +get_attr +get_attribute +get_attribute_node +get_content +get_element_by_id +get_elements_by_tagname +get_id +get_nodes +getshape1 +getshape2 +getwidth +halt +haltmsg +has_attribute +has_attributes +has_child_nodes +has_children +has_siblings +have_perm +hidden_session +html_dump_mem +hwstat +identify +info +insert +insert_before +insertanchor +insertcollection +insertdocument +internal_subset +is_asp +is_authenticated +is_blank_node +is_comment +is_html +is_jsp +is_jste +is_registered +is_text +is_xhtml +is_xml +key +langdepvalue +last_child +link +link_id +lock +login_if +metadata +mimetype +move +movePen +movePenTo +moveTo +multColor +name +next +next_record +next_sibling +nextframe +nextid +nf +node_name +node_type +node_value +notations +np +num_fields +num_rows +object +objectbyanchor +output +owner_document +p +padd_query +parent_node +parents +perm_invalid +permsum +prefix +prev +previous_sibling +process +pself_url +public_id +purl +put_headers +put_id +query +query_id +read +reason +register +reimport_any_vars +reimport_cookie_vars +reimport_get_vars +reimport_post_vars +release_token +remove +remove_attribute +remove_child +replace +replace_child +replace_node +result_dump_file +result_dump_mem +rotateTo +save +scale +scaleTo +seek +self_url +setAction +setColor +setDepth +setFont +setHeight +setHit +setLeftFill +setLeftMargin +setLine +setLineSpacing +setMargins +setName +setOver +setRatio +setRightFill +setSpacing +setUp +set_attribute +set_container +set_content +set_name +set_namespace +set_tokenname +setbackground +setbounds +setcolor +setcommitedversion +setdimension +setdown +setframes +setindentation +setname +setrate +setrightMargin +skewX +skewXTo +skewY +skewYTo +specified +srcanchors +srcsofdst +start +streammp3 +system_id +table_names +tagname +target +thaw +tidy_node +title +type +unlink_node +unlock +unregister +url +user +userlist +value +values +xinclude +: control +as +break +case +catch +continue +declare +default +do +else +elseif +enddeclare +endfor +endforeach +endif +endswitch +endwhile +exit +for +foreach +function +if +return +switch +throw +try +while +: storage/declarations +abstract +class +extends +final +global +implements +instanceof +interface +new +parent +private +protected +public +self +static +: operators +and +or +xor +: types +.default Type +array +bool*ean +const +double +float +int*eger +object +real +string +var +: constants +.default Number +NULL +false +true Index: filters/pot-filt.l Prereq: 1.3 --- vile-9.5+/filters/pot-filt.l 2004-12-10 00:31:30.000000000 +0000 +++ vile-9.5a/filters/pot-filt.l 2005-09-04 21:15:43.000000000 +0000 @@ -2,7 +2,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/pot-filt.l,v 1.3 2004/12/10 00:31:30 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/pot-filt.l,v 1.4 2005/09/04 21:15:43 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of gettext files. */ @@ -23,13 +23,13 @@ SSTRING \'([^']|\n)*\' -NAME [a-zA-Z0-9_] +NAME [[:alnum:]_] -IDENT [a-zA-Z_]{NAME}* +IDENT [[:alpha:]_]{NAME}* -INTEGER [-+]?([0-9]+) +INTEGER [-+]?([[:digit:]]+) -FORMAT "%"[.*0-9l$#+-]*[cdeEfFgGisuxX] +FORMAT "%"[.*[:digit:]l$#+-]*[cdeEfFgGisuxX] %% Index: filters/ps-filt.l Prereq: 1.5 --- vile-9.5+/filters/ps-filt.l 2004-12-10 00:32:04.000000000 +0000 +++ vile-9.5a/filters/ps-filt.l 2005-09-05 11:03:11.000000000 +0000 @@ -4,7 +4,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/ps-filt.l,v 1.5 2004/12/10 00:32:04 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/ps-filt.l,v 1.7 2005/09/05 11:03:11 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of PostScript * files. @@ -25,15 +25,15 @@ %} -NAME [a-zA-Z$_!@#&*_+=|:";',.?] +NAME [[:alpha:]$_!@#&*_+=|:\";'\,.?] ACTION [/{}[\]] -IDENT {NAME}({NAME}|[0-9])* +IDENT {NAME}({NAME}|[[:digit:]])* SIGN [-+] -INTEGER {SIGN}?([0-9]+) -REAL {SIGN}?[0-9]+\.[0-9]*|{SIGN}?\.[0-9]+ -RADIX ([0-9]+)"#"([0-9A-Fa-f]+) +INTEGER {SIGN}?([[:digit:]]+) +REAL {SIGN}?[[:digit:]]+\.[[:digit:]]*|{SIGN}?\.[[:digit:]]+ +RADIX ([[:digit:]]+)"#"([[:xdigit:]]+) NUMBER {INTEGER}|{REAL}|{RADIX} %% @@ -57,8 +57,8 @@ <NORMAL>">>" { WriteToken(Action_attr); } <NORMAL>"<" { BeginQuote(HEX_TEXT, String_attr); } -<HEX_TEXT>[0-9A-Fa-f]+ { flt_bfr_append(yytext, yyleng); } -<HEX_TEXT>[^>0-9A-Fa-f]+ { flt_error("expected a number"); +<HEX_TEXT>[[:xdigit:]]+ { flt_bfr_append(yytext, yyleng); } +<HEX_TEXT>[^>[:xdigit:]]+ { flt_error("expected a number"); flt_bfr_embed(yytext, yyleng, Error_attr); } <HEX_TEXT>">" { FinishQuote(NORMAL); } Index: filters/py-filt.l Prereq: 1.11 --- vile-9.5+/filters/py-filt.l 2003-05-20 20:38:41.000000000 +0000 +++ vile-9.5a/filters/py-filt.l 2005-09-04 21:15:43.000000000 +0000 @@ -3,7 +3,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/py-filt.l,v 1.11 2003/05/20 20:38:41 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/py-filt.l,v 1.12 2005/09/04 21:15:43 tom Exp $ * * Filter to add vile "attribution" sequences to a Python script */ @@ -22,13 +22,13 @@ COMMENT #.*\n -KEYWORD [a-zA-Z_][a-zA-Z0-9_]* +KEYWORD [[:alpha:]_][[:alnum:]_]* SIGN [-+] -DECIMAL [0-9_]+ +DECIMAL [[:digit:]_]+ OCTAL 0[0-7_]+ -HEXADECIMAL 0x[0-9a-fA-F_]+ -REAL [-+]?([0-9_]*\.[0-9][0-9_]*)([eE][+-]?[0-9_]+)? +HEXADECIMAL 0x[[:xdigit:]_]+ +REAL [-+]?([[:digit:]_]*\.[[:digit:]][[:digit:]_]*)([eE][+-]?[[:digit:]_]+)? NUMBER {SIGN}?({DECIMAL}|{OCTAL}|{HEXADECIMAL})[L]?|{REAL} %% Index: filters/rb-filt.l Prereq: 1.12 --- vile-9.5+/filters/rb-filt.l 2005-02-15 23:29:32.000000000 +0000 +++ vile-9.5a/filters/rb-filt.l 2005-09-05 01:38:39.000000000 +0000 @@ -1,9 +1,11 @@ %s CODE HERE SSTRING DSTRING RD SUBST +%o 6000 + %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/rb-filt.l,v 1.12 2005/02/15 23:29:32 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/rb-filt.l,v 1.14 2005/09/05 01:38:39 tom Exp $ * * Filter to add vile "attribution" sequences to a Ruby script * based on the Python filter. @@ -45,8 +47,8 @@ %} CHAR \?(\\M-)?(\\C-)?. -VAR [a-z_][a-zA-Z0-9_]* -CONST [A-Z][a-zA-Z0-9_]* +VAR [[:lower:]_][[:alnum:]_]* +CONST [[:upper:]][[:alnum:]_]* INSTANCE @+({CONST}|{VAR}) GLOBAL \$([-_.\/,"\\#%=~|\$?&`'+*\[\];!@<>():]|{CONST}|{VAR}) @@ -59,14 +61,14 @@ BLANK [ \t]* SSTRING \'(\\.|[^']|\n)*\' DSTRING \"(\\.|[^"]|\n)*\" -KEYWORD [a-zA-Z_][a-zA-Z0-9_]* +KEYWORD [[:alpha:]_][[:alnum:]_]* QIDENT ({SSTRING}|{DSTRING}|{KEYWORD}|"`"{KEYWORD}"`") SIGN [-+] -DECIMAL [0-9_]+ +DECIMAL [[:digit:]_]+ OCTAL 0[0-7_]+ -HEXADECIMAL 0x[0-9a-fA-F_]+ -REAL [-+]?([0-9_]*\.[0-9][0-9_]*)([eE][+-]?[0-9_]+)? +HEXADECIMAL 0x[[:xdigit:]_]+ +REAL [-+]?([[:digit:]_]*\.[[:digit:]][[:digit:]_]*)([eE][+-]?[[:digit:]_]+)? NUMBER {SIGN}?({DECIMAL}|{OCTAL}|{HEXADECIMAL})[L]?|{REAL} %% Index: filters/rc-filt.l Prereq: 1.5 --- vile-9.5+/filters/rc-filt.l 2005-07-11 22:32:48.000000000 +0000 +++ vile-9.5a/filters/rc-filt.l 2005-09-04 21:15:43.000000000 +0000 @@ -2,7 +2,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/rc-filt.l,v 1.5 2005/07/11 22:32:48 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/rc-filt.l,v 1.6 2005/09/04 21:15:43 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of Windows * resource files. @@ -33,14 +33,14 @@ %} -INTEGER [-+]?([0-9]+) -IDENT [a-zA-Z][a-zA-Z_0-9]* +INTEGER [-+]?([[:digit:]]+) +IDENT [[:alpha:]][[:alnum:]_]* STRING \"([^"]|(""))*\" -DECIMAL [0-9_]+ +DECIMAL [[:digit:]_]+ OCTAL 0[0-7_]+ -HEXADECIMAL 0x[0-9a-fA-F_]+ +HEXADECIMAL 0x[[:xdigit:]_]+ NUMBER ({DECIMAL}|{OCTAL}|{HEXADECIMAL})[L]? %% Index: filters/rcs-filt.l Prereq: 1.8 --- vile-9.5+/filters/rcs-filt.l 2003-05-20 20:38:41.000000000 +0000 +++ vile-9.5a/filters/rcs-filt.l 2005-09-04 21:15:43.000000000 +0000 @@ -3,7 +3,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/rcs-filt.l,v 1.8 2003/05/20 20:38:41 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/rcs-filt.l,v 1.9 2005/09/04 21:15:43 tom Exp $ * * Filter to add vile "attribution" sequences to RCS archives. */ @@ -21,8 +21,8 @@ %} -NUMBER [0-9]+(\.[0-9]+)* -NAME [a-zA-Z0-9]+ +NUMBER [[:digit:]]+(\.[[:digit:]]+)* +NAME [[:alnum:]]+ %% Index: filters/rexxfilt.l Prereq: 1.7 --- vile-9.5+/filters/rexxfilt.l 2003-05-20 20:38:41.000000000 +0000 +++ vile-9.5a/filters/rexxfilt.l 2005-09-04 21:15:43.000000000 +0000 @@ -2,7 +2,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/rexxfilt.l,v 1.7 2003/05/20 20:38:41 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/rexxfilt.l,v 1.8 2005/09/04 21:15:43 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of REXX source * - T.Dickey @@ -24,13 +24,13 @@ DSTRING \"([^"])*\" STRINGS ({SSTRING}|{DSTRING}) -KEYWORD [a-zA-Z@#$!?_][a-zA-Z0-9.@#$!?_]* +KEYWORD [[:alpha:]@#$!?_][[:alnum:].@#$!?_]* SIGN [-+] -DECIMAL [0-9_]+ +DECIMAL [[:digit:]_]+ OCTAL 0[0-7_]+ -HEXADECIMAL 0x[0-9a-fA-F_]+ -REAL [-+]?([0-9_]*\.[0-9][0-9_]*)([eE][+-]?[0-9_]+)? +HEXADECIMAL 0x[[:xdigit:]_]+ +REAL [-+]?([[:digit:]_]*\.[[:digit:]][[:digit:]_]*)([eE][+-]?[[:digit:]_]+)? NUMBER {SIGN}?({DECIMAL}|{OCTAL}|{HEXADECIMAL}|{REAL}) %% Index: filters/rpm-filt.l Prereq: 1.8 --- vile-9.5+/filters/rpm-filt.l 2004-12-10 00:34:04.000000000 +0000 +++ vile-9.5a/filters/rpm-filt.l 2005-09-05 14:29:32.000000000 +0000 @@ -1,11 +1,11 @@ %x META TEXT NORMAL HEREDOC QUOTED QUOTED2 SUBST -%o 6000 -%a 3000 +%o 10000 +%a 10000 %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/rpm-filt.l,v 1.8 2004/12/10 00:34:04 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/rpm-filt.l,v 1.11 2005/09/05 14:29:32 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of rpm spec-file. */ @@ -44,24 +44,24 @@ SSTRING \'([^']|\n)*\' DSTRING \"([^"]|\n)*\" -NAME [a-zA-Z0-9_] +NAME [[:alnum:]_] WILDCARD (\?|\*) WILDNAME ({NAME}|{WILDCARD}) FILENAME (([./]{WILDNAME}+)|({WILDNAME}+[./]{WILDNAME}*)|({NAME}*{WILDCARD})|\.+\/+)+ ACTION [\.`{}] -IDENT [a-zA-Z_]{NAME}* +IDENT [[:alpha:]_]{NAME}* QIDENT ({SSTRING}|{DSTRING}|[^ \"'$\t\n])+ -IDENT0 [-]+[0-9]*[a-zA-Z_-]+[0-9a-zA-Z_-]* +IDENT0 [-]+[[:digit:]]*[[:alpha:]_-]+[[:alnum:]_-]* IDENT1 [%$]{NAME}+ IDENT2 [%$]\{{IDENT}\} IDENT2L [%$]\{{IDENT} IDENT2R \} -IDENTEQLS [a-zA-Z_]{NAME}*= +IDENTEQLS [[:alpha:]_]{NAME}*= IDENTX \$[\*@#\?\$!-] -INTEGER [-+]?([0-9]+) +INTEGER [-+]?([[:digit:]]+) SECTION %{IDENT} @@ -126,7 +126,7 @@ <HEREDOC>^[\t]*{QIDENT}$ { int used = 0; if (strip_tabs) { - used = skip_blanks(yytext) - yytext; + used = skip_blanks(yytext) - (char *) yytext; if (used != 0) flt_bfr_append(yytext, used); } Index: filters/sccsfilt.l Prereq: 1.11 --- vile-9.5+/filters/sccsfilt.l 2004-12-10 00:38:46.000000000 +0000 +++ vile-9.5a/filters/sccsfilt.l 2005-09-04 20:58:11.000000000 +0000 @@ -3,7 +3,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/sccsfilt.l,v 1.11 2004/12/10 00:38:46 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/sccsfilt.l,v 1.12 2005/09/04 20:58:11 tom Exp $ * * Filter to add vile "attribution" sequences to SCCS files. */ @@ -27,7 +27,7 @@ EXPANDED "@(#)"[^\n]* -UNEXPANDED "%"[A-Z]"%" +UNEXPANDED "%"[[:upper:]]"%" %% Index: filters/sh-filt.l Prereq: 1.61 --- vile-9.5+/filters/sh-filt.l 2005-02-02 23:57:34.000000000 +0000 +++ vile-9.5a/filters/sh-filt.l 2005-09-05 14:29:59.000000000 +0000 @@ -1,11 +1,11 @@ %x NORMAL HEREDOC QUOTED SUBST -%o 6000 -%a 3000 +%o 10000 +%a 5000 %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/sh-filt.l,v 1.61 2005/02/02 23:57:34 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/sh-filt.l,v 1.64 2005/09/05 14:29:59 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of Shell script. */ @@ -54,24 +54,24 @@ SSTRING \'([^']|\n)*\' DSTRING \"([^"]|\n)*\" -NAME [a-zA-Z0-9_] +NAME [[:alnum:]_] WILDCARD (\?|\*) WILDNAME ({NAME}|{WILDCARD}) FILENAME (([./]{WILDNAME}+)|({WILDNAME}+[./]{WILDNAME}*)|({NAME}*{WILDCARD})|\.+\/+)+ -INTEGER [-+]?([0-9]+) +INTEGER [-+]?([[:digit:]]+) BACKTIC ` ACTION [\.{}] -IDENT [a-zA-Z_]{NAME}* +IDENT [[:alpha:]_]{NAME}* QIDENT ({SSTRING}|{DSTRING}|[^ \"'$\t\n])+ -IDENT0 [-]+[0-9]*[a-zA-Z_-]+[0-9a-zA-Z_-]* +IDENT0 [-]+[[:digit:]]*[[:alpha:]_-]+[[:alnum:]_-]* IDENT1 \${NAME}+ IDENT2 \$\{[#]?{IDENT}\} IDENT2L \$\{([#]?{IDENT}|{INTEGER}) IDENT2R \} -IDENTEQLS [a-zA-Z_]{NAME}*= +IDENTEQLS [[:alpha:]_]{NAME}*= IDENTX \$[\*@#\?\$!-] %% @@ -137,7 +137,7 @@ <HEREDOC>^[\t]*{QIDENT}$ { int used = 0; if (strip_tabs) { - used = skip_blanks(yytext) - yytext; + used = skip_blanks(yytext) - (char *) yytext; if (used != 0) flt_bfr_append(yytext, used); } Index: filters/sml-filt.l Prereq: 1.4 --- vile-9.5+/filters/sml-filt.l 2003-05-20 20:38:41.000000000 +0000 +++ vile-9.5a/filters/sml-filt.l 2005-09-04 21:15:43.000000000 +0000 @@ -2,7 +2,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/sml-filt.l,v 1.4 2003/05/20 20:38:41 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/sml-filt.l,v 1.5 2005/09/04 21:15:43 tom Exp $ Filter to add vile "attribution" sequences to selected bits of SML input text. @@ -40,12 +40,12 @@ %} -DECIMAL [~]?([0-9]+) -HEX [~]?0[xX]([0-9A-Fa-f]+) -WORD 0[wW]([0-9]+) -WORDHEX 0[wW][xX]([0-9A-Fa-f]+) -REAL [~]?([0-9]*\.[0-9]+)([eE][~]?[0-9]+)? -IDENT [a-zA-Z][a-zA-Z_0-9']* +DECIMAL [~]?([[:digit:]]+) +HEX [~]?0[xX]([[:xdigit:]]+) +WORD 0[wW]([[:digit:]]+) +WORDHEX 0[wW][xX]([[:xdigit:]]+) +REAL [~]?([[:digit:]]*\.[[:digit:]]+)([eE][~]?[[:digit:]]+)? +IDENT [[:alpha:]][[:alnum:]_']* NUMBER {DECIMAL}|{HEX}|{WORD}|{WORDHEX}|{REAL} Index: filters/spellflt.l Prereq: 1.21 --- vile-9.5+/filters/spellflt.l 2005-07-11 22:33:37.000000000 +0000 +++ vile-9.5a/filters/spellflt.l 2005-09-04 21:40:23.000000000 +0000 @@ -1,7 +1,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/spellflt.l,v 1.21 2005/07/11 22:33:37 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/spellflt.l,v 1.23 2005/09/04 21:40:23 tom Exp $ * * Filter to add vile "attribution" sequences to misspelled words. */ @@ -124,9 +124,7 @@ %} -ALPHA [a-zA-Z\240-\377] -DIGIT [0-9] -WORD {ALPHA}({ALPHA}|{DIGIT})* +WORD [[:alpha:]]([[:alnum:]])* %% Index: filters/sql-filt.l Prereq: 1.25 --- vile-9.5+/filters/sql-filt.l 2005-03-12 16:02:05.000000000 +0000 +++ vile-9.5a/filters/sql-filt.l 2005-09-05 11:18:50.000000000 +0000 @@ -3,7 +3,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/sql-filt.l,v 1.25 2005/03/12 16:02:05 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/sql-filt.l,v 1.27 2005/09/05 11:18:50 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of SQL script * - T.Dickey @@ -15,8 +15,8 @@ DefineOptFilter("sql", "d"); #define DPRINTF(params) if(FltOptions('d'))printf params -#define set_state(name) DPRINTF(("{" #name ":%d}", name)); BEGIN(name) -#define new_state(state) saved_state = YYSTATE; set_state(state) +#define set_state(name) DPRINTF(("{" #name ":%d}", name)); BEGIN(name); began_state = name +#define new_state(state) saved_state = began_state; set_state(state) #define old_state() set_state(saved_state); @@ -29,6 +29,7 @@ static char *String_attr; static int next_line; /* state after one-line SQL*Plus */ +static int began_state = LEAD; static int saved_state = LEAD; /******************************************************************************/ @@ -40,7 +41,7 @@ ACTION [@!$] UNITS [kKmM] -INTEGER [0-9]+ +INTEGER [[:digit:]]+ SIGN [+-] REAL {INTEGER}?(\.{INTEGER}([eE]{SIGN}?{INTEGER})?) NUMBER {SIGN}?({INTEGER}|{REAL})({UNITS})? @@ -49,7 +50,7 @@ DSTRING \"([^"])*\" STRING ({SSTRING}|{DSTRING}) -IDENT [%]?[a-zA-Z][a-zA-Z0-9_$#]* +IDENT [%]?[[:alpha:]][[:alnum:]_$#]* LABEL "<<"{IDENT}">>" HOSTVAR ":"{IDENT} Index: filters/tbl-filt.l Prereq: 1.8 --- vile-9.5+/filters/tbl-filt.l 2003-05-20 20:38:41.000000000 +0000 +++ vile-9.5a/filters/tbl-filt.l 2005-09-04 21:15:43.000000000 +0000 @@ -3,7 +3,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/tbl-filt.l,v 1.8 2003/05/20 20:38:41 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/tbl-filt.l,v 1.9 2005/09/04 21:15:43 tom Exp $ * * Filter to add vile "attribution" sequences to a vile mktbls datafile. */ @@ -25,13 +25,13 @@ COMMENT [ \t]*#[^\n]*\n -KEYWORD [a-zA-Z_.][a-zA-Z$0-9_.]* +KEYWORD [[:alpha:]_.][[:alnum:]$_.]* SIGN [-+] -DECIMAL [0-9_]+ +DECIMAL [[:digit:]_]+ OCTAL 0[0-7_]+ -HEXADECIMAL 0x[0-9a-fA-F_]+ -REAL [-+]?([0-9_]*\.[0-9][0-9_]*)([eE][+-]?[0-9_]+)? +HEXADECIMAL 0x[[:xdigit:]_]+ +REAL [-+]?([[:digit:]_]*\.[[:digit:]][[:digit:]_]*)([eE][+-]?[[:digit:]_]+)? NUMBER {SIGN}?({DECIMAL}|{OCTAL}|{HEXADECIMAL}|{REAL}) %% Index: filters/tc-filt.l Prereq: 1.21 --- vile-9.5+/filters/tc-filt.l 2004-12-10 00:42:50.000000000 +0000 +++ vile-9.5a/filters/tc-filt.l 2005-09-04 21:15:43.000000000 +0000 @@ -3,7 +3,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/tc-filt.l,v 1.21 2004/12/10 00:42:50 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/tc-filt.l,v 1.22 2005/09/04 21:15:43 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of termcap */ @@ -30,9 +30,9 @@ CAPNAME [^\.| \t\n:=]+ DOTNAME (\.)+{CAPNAME} -ALIAS [a-zA-Z_\.0-9+-]+ +ALIAS [[:alnum:]_\.+-]+ -NUMBER "#"[0-9]+ +NUMBER "#"[[:digit:]]+ STRING [^#:\\\n](\\[.\n]|[^:\n])* %% Index: filters/tcl-filt.l Prereq: 1.14 --- vile-9.5+/filters/tcl-filt.l 2004-12-10 00:43:07.000000000 +0000 +++ vile-9.5a/filters/tcl-filt.l 2005-09-05 01:41:49.000000000 +0000 @@ -1,10 +1,10 @@ %s VERB ARGS -%a 2500 +%a 5000 %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/tcl-filt.l,v 1.14 2004/12/10 00:43:07 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/tcl-filt.l,v 1.16 2005/09/05 01:41:49 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of TCL/TK script. * @@ -40,7 +40,7 @@ ACTION ([\.`]|\\\n) -BASICIDENT [a-zA-Z_-][a-zA-Z_0-9-]* +BASICIDENT [[:alpha:]_-][[:alnum:]_-]* IDENT (::)?{BASICIDENT}(::{BASICIDENT})* IDENT1 \${IDENT} IDENT2 \$\{[^\}]*\} @@ -48,16 +48,16 @@ WIDGET (\.{IDENT})+ SIGN [-+] -DECIMAL [0-9]+ +DECIMAL [[:digit:]]+ OCTAL 0[0-7]+ -HEXADECIMAL 0x[0-9a-fA-Z]+ +HEXADECIMAL 0x[[:xdigit:]]+ EXP [eE]{SIGN}?{DECIMAL} REAL (({DECIMAL}\.{DECIMAL}?)|({DECIMAL}?\.{DECIMAL})){EXP}? NUMBER {SIGN}?({DECIMAL}|{OCTAL}|{HEXADECIMAL}|{REAL}) -NODECIMAL [0-9]+[g-zG-Z]+ +NODECIMAL [[:digit:]]+[g-zG-Z]+ NOOCTAL 0[0-7]*([89]+[0-7]*)+ -NOHEXADECIMAL 0X[0-9a-fA-Z]+ +NOHEXADECIMAL 0X[[:xdigit:]]+ NOREAL \.?{EXP} NONUMBER {SIGN}?({NODECIMAL}|{NOOCTAL}|{NOHEXADECIMAL}|{NOREAL}) Index: filters/texifilt.l Prereq: 1.2 --- vile-9.5+/filters/texifilt.l 2003-05-20 20:38:41.000000000 +0000 +++ vile-9.5a/filters/texifilt.l 2005-09-05 10:59:09.000000000 +0000 @@ -2,7 +2,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/texifilt.l,v 1.2 2003/05/20 20:38:41 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/texifilt.l,v 1.4 2005/09/05 10:59:09 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of texinfo input. */ @@ -20,12 +20,12 @@ SPACE [ \t] -PUNCT [!"'*-.:=?@^`{}~] +PUNCT [!"'*.:=?@^`{}~-] -NAME [a-zA-Z]+ +NAME [[:alpha:]]+ -INTEGER [-+]?([0-9]+) -REAL [-+]?([0-9]*\.[0-9]+)([eE][+-]?[0-9]+)? +INTEGER [-+]?([[:digit:]]+) +REAL [-+]?([[:digit:]]*\.[[:digit:]]+)([eE][+-]?[[:digit:]]+)? NUMBER ({INTEGER}|{REAL}) %% Index: filters/ti-filt.l Prereq: 1.15 --- vile-9.5+/filters/ti-filt.l 2004-08-08 18:56:50.000000000 +0000 +++ vile-9.5a/filters/ti-filt.l 2005-09-04 21:15:43.000000000 +0000 @@ -3,7 +3,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/ti-filt.l,v 1.15 2004/08/08 18:56:50 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/ti-filt.l,v 1.16 2005/09/04 21:15:43 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of terminfo */ @@ -28,15 +28,15 @@ SPACE [ \t] -CAPNAME [a-zA-Z][a-zA-Z0-9_]* +CAPNAME [[:alpha:]][[:alnum:]_]* DOTNAME \.{CAPNAME} -ALIAS [a-zA-Z_\.0-9+-]+ +ALIAS [[:alnum:]_\.+-]+ COMMA [,] ESCNL {COMMA}{SPACE}*\\\n\t -NUMBER "#"[0-9]+ +NUMBER "#"[[:digit:]]+ STRING "="(\\.|\^.|[^,\^\\])* %% Index: filters/txt-filt.l Prereq: 1.17 --- vile-9.5+/filters/txt-filt.l 2004-03-21 15:08:31.000000000 +0000 +++ vile-9.5a/filters/txt-filt.l 2005-09-05 01:42:35.000000000 +0000 @@ -1,9 +1,11 @@ %s NORMAL QUOTED QUOTES +%o 6000 + %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/txt-filt.l,v 1.17 2004/03/21 15:08:31 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/txt-filt.l,v 1.19 2005/09/05 01:42:35 tom Exp $ * * Filter to add vile "attribution" sequences to an ordinary text file */ @@ -24,24 +26,24 @@ COMMENT (^(-[-]+[ \t]+[^\n]+))|([ \t]*-[ \t-]*)\n -KEYWORD ([a-zA-Z_][a-zA-Z0-9_.]*|[A-Z][+/&][A-Z]) +KEYWORD ([[:alpha:]_][[:alnum:]_.]*|[[:upper:]][+/&][[:upper:]]) SIGN [-+] -DECIMAL [0-9_]+ +DECIMAL [[:digit:]_]+ OCTAL 0[0-7_]+ -HEXADECIMAL 0x[0-9a-fA-F_]+ -REAL [-+]?([0-9_]*\.[0-9][0-9_]*)([eE][+-]?[0-9_]+)? +HEXADECIMAL 0x[[:xdigit:]_]+ +REAL [-+]?([[:digit:]_]*\.[[:digit:]][[:digit:]_]*)([eE][+-]?[[:digit:]_]+)? NUMBER {SIGN}?({DECIMAL}|{OCTAL}|{HEXADECIMAL}|{REAL}) -NAME [a-zA-Z0-9_.#-]+ +NAME [[:alnum:]_.#-]+ ADDRESS ({NAME}|\.)+ EMAIL {NAME}@{ADDRESS} -URL [A-Za-z]+"://"[0-9a-zA-Z%/.~_#?=-]+ +URL [[:alpha:]]+"://"[[:alnum:]%/.~_#?=-]+ %% -<NORMAL>[A-Z]\'{KEYWORD} { ECHO; } +<NORMAL>[[:upper:]]\'{KEYWORD} { ECHO; } <NORMAL>{KEYWORD} { WriteToken(mixedcase(yytext) ? "" : Keyword_attr); } <NORMAL>{COMMENT} { WriteToken(Comment_attr); } Index: filters/vilefilt.l Prereq: 1.30 --- vile-9.5+/filters/vilefilt.l 2005-06-18 15:48:49.000000000 +0000 +++ vile-9.5a/filters/vilefilt.l 2005-09-04 21:15:43.000000000 +0000 @@ -6,7 +6,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/vilefilt.l,v 1.30 2005/06/18 15:48:49 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/vilefilt.l,v 1.31 2005/09/04 21:15:43 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of vile macros * - T.Dickey @@ -43,12 +43,12 @@ %} SPACE [ \t]+ -DECIMAL [0-9]+ -HEXADECIMAL 0[xX][0-9a-fA-F_]+ +DECIMAL [[:digit:]]+ +HEXADECIMAL 0[xX][[:xdigit:]_]+ NUMBER ({DECIMAL}|{HEXADECIMAL}) -NAME [a-zA-Z_][a-zA-Z_0-9]*(-[a-zA-Z_0-9]+)* +NAME [[:alpha:]_][[:alnum:]_]*(-[[:alnum:]_]+)* -PREPROC \~[a-z]+ +PREPROC \~[[:lower:]]+ SEMICMT ;.* QUOTECMT1 ^[ \t]*\"[^\n]* @@ -66,7 +66,7 @@ SSTRING (\'(\\.|[^'\n])*\') DSTRING \"(\\.|[^\\"\n])*\" -FUNCTION &[a-zA-Z]+ +FUNCTION &[[:alpha:]]+ VARIABLE [$%]{NAME} Index: filters/vl-filt.l Prereq: 1.5 --- vile-9.5+/filters/vl-filt.l 2004-12-10 01:29:59.000000000 +0000 +++ vile-9.5a/filters/vl-filt.l 2005-09-04 23:17:58.000000000 +0000 @@ -2,7 +2,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/vl-filt.l,v 1.5 2004/12/10 01:29:59 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/vl-filt.l,v 1.11 2005/09/04 23:17:58 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of VeriLog * program. @@ -27,15 +27,15 @@ SPACE [ \t] -DIRECTIVE `[a-zA-Z_][a-zA-Z_0-9]* -IDENT [a-zA-Z_][a-zA-Z_0-9]* -ESCNAME \\[^ \n]+ -SYSNAME \$[a-zA-Z_][a-zA-Z_0-9]* - -DECIMAL ('[d])?([0-9_]+) -BINARY 'b([0-1_]+) -OCTAL 'o([0-7_]+) -HEXADECIMAL 'h([0-9a-f_]+) +DIRECTIVE `[[:alpha:]_][[:alnum:]_]* +IDENT [[:alpha:]$_]+ +ESCNAME \\[[:graph:]]+ +SYSNAME \$[[:alpha:]_][[:alnum:]_]* + +DECIMAL ('[dD])?([[:digit:]_]+) +BINARY '[bB]([xXzZ?01_]+) +OCTAL '[oO]([xXzZ?0-7_]+) +HEXADECIMAL '[hH]([xXzZ?[:xdigit:]_]+) INTEGER {DECIMAL}|{HEXADECIMAL}|{OCTAL}|{BINARY} DSTRING \"(\\.|[^"\\])*\" @@ -63,7 +63,7 @@ } } -<CODE>{INTEGER} { WriteToken(Number_attr); } +<CODE>{INTEGER} { WriteToken(Number_attr); /* '_' should be embedded */ } <CODE>"//"[^\n]* { WriteToken(Comment_attr); } <CODE>"/*" { WriteToken(Comment_attr); BEGIN(COMMENT); } Index: filters/vlog.key Prereq: 1.4 --- vile-9.5+/filters/vlog.key 2001-01-10 01:22:16.000000000 +0000 +++ vile-9.5a/filters/vlog.key 2005-09-04 23:07:53.000000000 +0000 @@ -1,25 +1,29 @@ -: $Id: vlog.key,v 1.4 2001/01/10 01:22:16 tom Exp $ +: $Id: vlog.key,v 1.10 2005/09/04 23:07:53 tom Exp $ +: IEEE 1364-1995 always and assign attribute begin buf -buff0 -buff1 +bufif0 +bufif1 case +casex +casez cmos deassign default defparam disable +edge else end endattribute endcase -endfunction endmodule endprimitive +endspecify endtable endtask event @@ -31,12 +35,14 @@ highz0 highz1 if +ifnone initial inout input integer join large +macromodule medium module nand @@ -58,6 +64,7 @@ pullup rcmos real +realtime reg release repeat @@ -67,9 +74,11 @@ rtranif0 rtranif1 scalared +signed small specify specparam +strength strong0 strong1 supply0 @@ -86,6 +95,7 @@ triand trior trireg +unsigned vectored wait wand @@ -96,8 +106,32 @@ wor xnor xor +: vendor? +automatic +buff0 +buff1 +cell +config +design +endconfig +endfunction +endgenerate +generate +genvar +incdir +include +instance +liblist +library +localparam +noshowcancelled +pulsestyle_ondetect +pulsestyle_onevent +showcancelled +use : $display +$fclose $fdisplay $finish $fmonitor @@ -107,6 +141,8 @@ $hold $incsave $monitor +$printtimescale +$random $readmemb $readmemh $realtime @@ -118,25 +154,47 @@ $setuphold $showscopes $showvars +$stime $stop $strobe $time +$timeformat $width $write : .default Preproc -`autoexpand_vectornets +: IEEE 1364-1995 `celldefine `default_nettype `define `else `endcelldefine `endif +`ifdef +`include +`resetall +`timescale +`unconnected_drive +`undef +: vendor? +`autoexpand_vectornets +`case +`default +`delay_mode_distributed +`delay_mode_path +`delay_mode_unit +`delay_mode_zero +`endfor `endprotect `endprotected +`endswitch +`endwhile `expand_vectornets -`ifdef -`include +`for +`format +`if +`ifndef +`let `noexpand_vectornets `noremove_gatenames `noremove_netname @@ -145,8 +203,9 @@ `protected `remove_gatename `remove_netname -`resetall `signed -`timescale -`unconnected_drive +`switch +`time_scale `unsigned +`uselib +`while Index: filters/wbt-filt.l --- /dev/null 2005-07-02 10:53:52.000000000 +0000 +++ vile-9.5a/filters/wbt-filt.l 2005-09-04 21:15:43.000000000 +0000 @@ -0,0 +1,83 @@ +%x NORMAL + +%{ +/* + * $Header: /usr/build/vile/vile/filters/RCS/wbt-filt.l,v 1.3 2005/09/04 21:15:43 tom Exp $ + * + * Filter to add vile "attribution" sequences to selected bits of WinBatch script. + */ + +#include <filters.h> + +DefineFilter("wbt"); + +static char *want_attr(char *want, char *name); + +static char *Comment_attr; +static char *Error_attr; +static char *Number_attr; +static char *Prepro_attr; +static char *String_attr; + +%} + +BSTRING \`([^`\n]|``)*\` +SSTRING \'([^'\n]|'')*\' +DSTRING \"([^"\n]|"")*\" +STRING {DSTRING}|{SSTRING}|{BSTRING} + +NAME [[:alnum:]] + +IDENT [[:alpha:]]{NAME}* + +DECIMAL [[:digit:]]+ +REAL [-+]?([[:digit:]]*\.[[:digit:]]+)([eE][+-]?[[:digit:]]+)? +NUMBER ({REAL}|{DECIMAL}) + +%% + +<NORMAL>{IDENT} { WriteToken(ci_keyword_attr(yytext)); } +<NORMAL>#{IDENT} { WriteToken(want_attr(Prepro_attr, yytext)); } +<NORMAL>"@"{IDENT} { WriteToken(want_attr(Number_attr, yytext)); } + +<NORMAL>";"[^\n]* { WriteToken(Comment_attr); } + +<NORMAL>{NUMBER} { WriteToken(Number_attr); } +<NORMAL>[[:digit:]]{NUMBER}{NAME}+ { + flt_error("not a number"); + WriteToken(Error_attr); + } +<NORMAL>{STRING} { WriteToken(String_attr); } + +%% + +static char * +want_attr(char *want, char *name) +{ + char *have = ci_keyword_attr(name); + if (have != want) + have = Error_attr; + return have; +} + +static void +init_filter(int before GCC_UNUSED) +{ +} + +static void +do_filter(FILE *inputs) +{ + yyin = inputs; + + Comment_attr = class_attr(NAME_COMMENT); + Error_attr = class_attr(NAME_ERROR); + Number_attr = class_attr(NAME_NUMBER); + Prepro_attr = class_attr(NAME_PREPROC); + String_attr = class_attr(NAME_LITERAL); + + BEGIN(NORMAL); + + while (yylex() > 0) { + } +} Index: filters/wbt.key --- /dev/null 2005-07-02 10:53:52.000000000 +0000 +++ vile-9.5a/filters/wbt.key 2005-08-31 20:05:52.000000000 +0000 @@ -0,0 +1,874 @@ +: $Id: wbt.key,v 1.3 2005/08/31 20:05:52 tom Exp $ +: Wilson Windoware's WinBatch +.default Keyword +break +case +else +end +endif +endselect +endswitch +endwhile +exit +for +foreach +gosub +goto +if +next +return +select +switch +then +to +while +yield +: +.default Number +@aboveicons +@acc_attrib +@acc_chng_nt +@acc_control +@acc_create +@acc_delete +@acc_full_95 +@acc_full_nt +@acc_list +@acc_pfull_nt +@acc_pmang_nt +@acc_print_nt +@acc_read +@acc_read_95 +@acc_read_nt +@acc_write +@amc +@arrange +@ascending +@attr_a +@attr_ci +@attr_dc +@attr_di +@attr_dm +@attr_h +@attr_ic +@attr_p +@attr_ri +@attr_ro +@attr_sh +@attr_sy +@attr_t +@attr_x +@avogadro +@backscan +@boltzmann +@cancel +@capslock +@check +@columns +@commonformat +@cr +@crlf +@ctrl +@default +@deg2rad +@descending +@disable +@drive +@e +@electric +@enable +@eulers +@false +@faraday +@float8 +@fwdscan +@gftsec +@globalgroup +@gmtsec +@goldenratio +@gravitation +@hidden +@icon +@lbutton +@lclick +@ldblclick +@lf +@lightmps +@lightmtps +@localgroup +@magfield +@major +@mbokcancel +@mbutton +@mbyesno +@mclick +@mdblclick +@minor +@minorerror +@msformat +@multiple +@ncsaformat +@no +@none +@noresize +@normal +@notify +@nowait +@numlock +@off +@offkeyboard +@on +@open +@parsec +@parseonly +@pi +@planckergs +@planckjoules +@printer +@rad2deg +@rbutton +@rclick +@rdblclick +@regclasses +@regcurrent +@regmachine +@regroot +@regusers +@rows +@save +@scrolllock +@server +@shift +@single +@sorted +@stack +@string +@tab +@tile +@true +@uncheck +@unsorted +@wait +@wholesection +@word1 +@word2 +@word4 +@yes +@zoomed +: +.default Preproc +#endsubroutine +#endfunction +#definesubroutine +#definefunction +: +.default Keyword +about +abs +acos +addextender +appexist +appwaitclose +arrayfileget +arrayfilegetcsv +arrayfileput +arrayfileputcsv +arrayize +arrdimension +arrinfo +arrinitialize +asin +askcolor +askdirectory +askfilename +askfiletext +askfont +askitemlist +askline +askpassword +asktextbox +askyesno +atan +average +beep +binaryalloc +binaryallocarray +binaryand +binarybufinfo +binarychecksum +binaryclipget +binaryclipput +binarycompare +binaryconvert +binarycopy +binaryeodget +binaryeodset +binaryfree +binaryhashrec +binaryincr +binaryincr2 +binaryincr4 +binaryincrflt +binaryindex +binaryindexbin +binaryindexex +binaryindexnc +binaryoletype +binaryor +binarypeek +binarypeek2 +binarypeek4 +binarypeekflt +binarypeekhex +binarypeekstr +binarypoke +binarypoke2 +binarypoke4 +binarypokeflt +binarypokehex +binarypokestr +binaryread +binaryreadex +binaryreplace +binarysort +binarystrcnt +binarytagextr +binarytagfind +binarytagindex +binarytaginit +binarytaglen +binarytagrepl +binarywrite +binarywriteex +binaryxlate +binaryxor +boxbuttondraw +boxbuttonkill +boxbuttonstat +boxbuttonwait +boxcaption +boxcolor +boxdataclear +boxdatatag +boxdestroy +boxdrawcircle +boxdrawline +boxdrawrect +boxdrawtext +boxesup +boxmapmode +boxnew +boxopen +boxpen +boxshut +boxtext +boxtextcolor +boxtextfont +boxtitle +boxupdates +break +buttonnames +by +call +callext +ceiling +char2num +clipappend +clipget +clipgetex +cliphasformat +clipput +collection +continue +cos +cosh +createobject +currentfile +currentpath +currfilepath +datetime +ddeexecute +ddeinitiate +ddepoke +dderequest +ddeterminate +ddetimeout +debug +debugdata +debugtrace +decimals +delay +dialog +dialogbox +dialogcontrolget +dialogcontrolset +dialogcontrolstate +dialogprocoptions +dirattrget +dirattrgetex +dirattrset +dirattrsetex +dirchange +direxist +dirget +dirhome +dirinfotoarray +diritemize +dirmake +dirremove +dirrename +dirscript +dirsize +dirwindows +diskexist +diskfree +diskinfo +diskscan +disksize +diskvolinfo +display +dllcall +dllcallcdecl +dllfree +dllhinst +dllhwnd +dlllasterror +dllload +dosboxcursorx +dosboxcursory +dosboxgetall +dosboxgetdata +dosboxheight +dosboxscrmode +dosboxversion +dosboxwidth +dosversion +drop +dropwild +edosgetinfo +edosgetvar +edoslistvars +edospathadd +edospathchk +edospathdel +edossetvar +elementvariable +else +endif +endsession +envgetinfo +envgetvar +environment +environset +envitemize +envlistvars +envpathadd +envpathchk +envpathdel +envsetvar +errormode +exclusive +execute +executestatement +exetypeinfo +exit +exp +fabs +fileappend +fileattrget +fileattrgetex +fileattrset +fileattrsetex +filebasename +fileclose +filecompare +filecopy +filecopyattr +filecreatetemp +filedelete +fileexist +fileextension +filefullname +fileget +fileinfotoarray +fileitemize +fileitempath +filelocate +filemapname +filemove +filemoveattr +filenameeval1 +filenameeval2 +filenamelong +filenameshort +fileopen +filepath +fileput +fileread +filerename +fileroot +filesize +filesizeex +filetimecode +filetimeget +filetimegetex +filetimeset +filetimesetex +filetimetouch +fileverinfo +filewrite +fileymdhms +findwindow +floor +for +foreach +getexacttime +getobject +gets +gettickcount +gosub +gotolabel +iconarrange +iconextract +iconinfo +iconreplace +if +ignoreinput +in +inidelete +inideletepvt +iniitemize +iniitemizepvt +iniread +inireadpvt +iniwrite +iniwritepvt +installfile +int +intcontrol +isdefined +isfloat +isint +iskeydown +islicensed +ismenuchecked +ismenuenabled +isnumber +itemcount +itemcountcsv +itemextract +itemextractcsv +iteminsert +itemlocate +itemremove +itemreplace +itemselect +itemsort +keytoggleget +keytoggleset +lasterror +log10 +logdisk +loge +logs +max +menuchange +message +min +mod +mouseclick +mouseclickbtn +mousecoords +mousedrag +mouseinfo +mousemove +mouseplay +msgtextget +n3attach +n3captureend +n3captureprt +n3chgpassword +n3detach +n3dirattrget +n3dirattrset +n3drivepath +n3drivepath2 +n3drivestatus +n3fileattrget +n3fileattrset +n3getloginid +n3getmapped +n3getnetaddr +n3getuser +n3getuserid +n3logout +n3map +n3mapdelete +n3mapdir +n3maproot +n3memberdel +n3memberget +n3memberset +n3msgsend +n3msgsendall +n3serverinfo +n3serverlist +n3setsrchdrv +n3usergroups +n3version +n4attach +n4captureend +n4captureprt +n4chgpassword +n4detach +n4dirattrget +n4dirattrset +n4drivepath +n4drivestatus +n4fileattrget +n4fileattrset +n4getloginid +n4getmapped +n4getnetaddr +n4getuser +n4getuserid +n4login +n4logout +n4map +n4mapdelete +n4mapdir +n4maproot +n4memberdel +n4memberget +n4memberset +n4msgsend +n4msgsendall +n4serverinfo +n4serverlist +n4setsrchdrv +n4usergroups +n4version +netadddrive +netaddprinter +netcancelcon +netdirdialog +netgetcon +netgetuser +netinfo +netresources +netversion +num2char +objectaccess +objectclose +objectcollectionclose +objectcollectionnext +objectcollectionopen +objectconstantsget +objectconsttoarray +objectcreate +objectget +objectopen +objecttype +objecttypeget +parsedata +pause +pipeclientclose +pipeclientopen +pipeclientsendrecvdata +pipeinfo +pipeserverclose +pipeservercreate +pipeserverread +pipeserverwrite +playmedia +playmidi +playwaveform +print +random +regapp +regclosekey +regconnect +regcreatekey +regdeletekey +regdelvalue +regentrytype +regexistkey +regexistvalue +regloadhive +regopenkey +regopenkeyex +regquerybin +regquerydword +regqueryex +regqueryexpsz +regqueryitem +regquerykey +regquerykeylastwritetime +regquerykeys +regquerymulsz +regqueryqword +regquerystr +regqueryvalue +regsetbin +regsetdword +regsetex +regsetexpsz +regsetmulsz +regsetqword +regsetvalue +regunloadhive +reload +reloads +return +rtstatus +run +runenviron +runexit +runhide +runhidewait +runicon +runiconwait +runshell +runwait +runwithlogon +runzoom +runzoomwait +searches +selectvarname +sendkey +sendkeyschild +sendkeysto +sendmenusto +sets +shellexecute +shortcutdir +shortcutedit +shortcutextra +shortcutinfo +shortcutmake +sin +sinh +snapshot +sounds +soundvolume +sqrt +srchfree +srchinit +srchnext +strcat +strcharcount +strclean +strcmp +strcnt +strfill +strfix +strfixchars +strfixcharsl +strfixleft +stricmp +strindex +strindexnc +strindexwild +strlen +strlenwild +strlower +strreplace +strscan +strsub +strsubwild +strtrim +strupper +switchvarname +sysparaminfo +tan +tanh +tcpaddr2host +tcpftpchdir +tcpftpclose +tcpftpget +tcpftplist +tcpftpmode +tcpftpopen +tcpftpput +tcphost2addr +tcphttpget +tcphttppost +tcpparmget +tcpparmset +tcpping +tcpsmtp +terminate +textbox +textboxsort +textoutbufdel +textoutbuffer +textoutdebug +textoutfree +textoutinfo +textoutreset +textouttrack +textouttrackb +textouttrackp +textoutwait +textselect +timeadd +timedate +timedayofweek +timedayofyear +timedelay +timediff +timediffdays +timediffsecs +timejulianday +timejultoymd +timesubtract +timewait +timeymdhms +vartype +version +versiondll +w3addcon +w3cancelcon +w3dirbrowse +w3getcaps +w3getcon +w3netdialog +w3netgetuser +w3prtbrowse +w3version +w95accessadd +w95accessdel +w95adddrive +w95addprinter +w95cancelcon +w95dirdialog +w95getcon +w95getuser +w95resources +w95shareadd +w95sharedel +w95shareset +w95version +waitforkey +waitforkeyex +wallpaper +webbaseconv +webcloselog +webcmddata +webcondata +webcounter +webdatdata +webdumperror +webhashcode +webislocal +weblogline +webopenlog +webout +weboutfile +webparamdata +webparamnames +websettimeout +webverifycard +while +winactivate +winactivchild +winactivechild +winarrange +winclose +winclosenot +winconfig +winexename +winexist +winexistchild +wingetactive +winhelp +winhide +winiconize +winidget +winisdos +winitemchild +winitemize +winitemizeex +winitemnameid +winitemprocid +winmetrics +winname +winparget +winparmget +winparmset +winparset +winplace +winplacechild +winplaceget +winplaceset +winposition +winpositionchild +winresources +winshow +winstate +winsysinfo +wintitle +winversion +winwaitchild +winwaitclose +winwaitexist +winzoom +wnaddcon +wncancelcon +wncmptrinfo +wndialog +wndlgbrowse +wndlgcon +wndlgcon2 +wndlgcon3 +wndlgcon4 +wndlgdiscon +wndlgnoshare +wndlgshare +wngetcaps +wngetcon +wngetuser +wnnetnames +wnrestore +wnservers +wnsharecnt +wnsharename +wnsharepath +wnshares +wntaccessadd +wntaccessdel +wntaccessget +wntadddrive +wntaddprinter +wntcancelcon +wntdirdialog +wntgetcon +wntgetuser +wntlistgroups +wntmemberdel +wntmemberget +wntmembergrps +wntmemberlist +wntmemberset +wntresources +wntshareadd +wntsharedel +wntshareset +wntversion +wnversion +wnwrkgroups +wwenvunload +xbaseconvert +xcursorset +xdisklabelget +xdriveready +xextenderinfo +xgetchildhwnd +xgetelapsed +xhex +xmemcompact +xmessagebox +xsendmessage +xverifyccard +yield +yields Index: filters/xml-filt.l Prereq: 1.12 --- vile-9.5+/filters/xml-filt.l 2004-12-09 22:09:24.000000000 +0000 +++ vile-9.5a/filters/xml-filt.l 2005-09-04 21:15:43.000000000 +0000 @@ -2,7 +2,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/xml-filt.l,v 1.12 2004/12/09 22:09:24 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/xml-filt.l,v 1.13 2005/09/04 21:15:43 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of XML input * text. @@ -46,12 +46,12 @@ SPACE [ \t] -INTEGER [-+]?([0-9]+) -REAL [-+]?([0-9]*\.[0-9]+)([eE][+-]?[0-9]+)? -HEXNUM "#"[0-9A-Fa-f]+ +INTEGER [-+]?([[:digit:]]+) +REAL [-+]?([[:digit:]]*\.[[:digit:]]+)([eE][+-]?[[:digit:]]+)? +HEXNUM "#"[[:xdigit:]]+ NUMBER {INTEGER}|{REAL}|{HEXNUM} -IDENT [a-zA-Z_!?][a-zA-Z_0-9.-]* +IDENT [[:alpha:]_!?][[:alnum:]_.-]* HSTRING \"[^"]*\" QSTRING \\["]([^"]|\\["])*\\["] Index: filters/xresfilt.l Prereq: 1.15 --- vile-9.5+/filters/xresfilt.l 2004-12-10 00:49:51.000000000 +0000 +++ vile-9.5a/filters/xresfilt.l 2005-09-04 21:15:43.000000000 +0000 @@ -3,7 +3,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/xresfilt.l,v 1.15 2004/12/10 00:49:51 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/xresfilt.l,v 1.16 2005/09/04 21:15:43 tom Exp $ * * Filter to add vile "attribution" sequences to an X resource file. */ @@ -31,18 +31,18 @@ COMMENT ![^\n]*\n SIGN [-+] -DECIMAL [0-9]+ +DECIMAL [[:digit:]]+ OCTAL 0[0-7]+ -HEX [0-9a-fA-Z] +HEX [[:xdigit:]] HEXADECIMAL 0x{HEX}+ -REAL [-+]?([0-9]*\.[0-9]+)([eE][+-]?[0-9]+)? +REAL [-+]?([[:digit:]]*\.[[:digit:]]+)([eE][+-]?[[:digit:]]+)? RGB [Rr][Gg][Bb]:{HEX}+"/"{HEX}+"/"{HEX}+ COLOR (#{HEX}+|{RGB}) NUMBER {SIGN}?({DECIMAL}|{OCTAL}|{HEXADECIMAL}|{REAL}|{COLOR}) ESCAPED (\\[0-7][0-7][0-7]|\\.) -NAME [a-zA-Z0-9_-]+ +NAME [[:alnum:]_-]+ KEYWORD ({NAME}|<{NAME}>) %% Index: filters/xs-filt.l Prereq: 1.10 --- vile-9.5+/filters/xs-filt.l 2003-05-20 20:38:41.000000000 +0000 +++ vile-9.5a/filters/xs-filt.l 2005-09-04 21:15:43.000000000 +0000 @@ -2,7 +2,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/xs-filt.l,v 1.10 2003/05/20 20:38:41 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/xs-filt.l,v 1.11 2005/09/04 21:15:43 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of Perl/C * extension source @@ -32,13 +32,13 @@ INCLUDE (<[^>]+>|\"[^"]+\") -KEYWORD [a-zA-Z_][a-zA-Z_0-9]* +KEYWORD [[:alpha:]_][[:alnum:]_]* SIGN [-+] -DECIMAL [0-9_]+ +DECIMAL [[:digit:]_]+ OCTAL 0[0-7_]+ -HEXADECIMAL 0x[0-9a-fA-F_]+ -REAL [-+]?([0-9_]*\.[0-9][0-9_]*)([eE][+-]?[0-9_]+)? +HEXADECIMAL 0x[[:xdigit:]_]+ +REAL [-+]?([[:digit:]_]*\.[[:digit:]][[:digit:]_]*)([eE][+-]?[[:digit:]_]+)? NUMBER {SIGN}?({DECIMAL}|{OCTAL}|{HEXADECIMAL}|{REAL}) %% Index: filters/yaccfilt.l Prereq: 1.21 --- vile-9.5+/filters/yaccfilt.l 2003-05-24 00:49:25.000000000 +0000 +++ vile-9.5a/filters/yaccfilt.l 2005-09-04 21:15:43.000000000 +0000 @@ -2,7 +2,7 @@ %{ /* - * $Header: /usr/build/vile/vile/filters/RCS/yaccfilt.l,v 1.21 2003/05/24 00:49:25 tom Exp $ + * $Header: /usr/build/vile/vile/filters/RCS/yaccfilt.l,v 1.22 2005/09/04 21:15:43 tom Exp $ * * Filter to add vile "attribution" sequences to selected bits of YACC program. */ @@ -42,10 +42,10 @@ DIRECTIVE ^%[^ \t\n]+ -IDENT [a-zA-Z_][a-zA-Z_0-9]* -QIDENT \$([0-9$]|\<{IDENT}\>) +IDENT [[:alpha:]_][[:alnum:]_]* +QIDENT \$([[:digit:]$]|\<{IDENT}\>) -INTEGER [-+]?([0-9]+|("0"[xX][0-9a-fA-Z]+)) +INTEGER [-+]?([[:digit:]]+|("0"[xX][[:xdigit:]]+)) SSTRING \'(\\.|[^'\\])*\' DSTRING \"(\\.|[^"\\])*\" Index: macros/modes.rc Prereq: 1.25 --- vile-9.5+/macros/modes.rc 2005-06-30 19:04:25.000000000 +0000 +++ vile-9.5a/macros/modes.rc 2005-09-05 00:41:00.000000000 +0000 @@ -1,4 +1,4 @@ -; $Id: modes.rc,v 1.25 2005/06/30 19:04:25 tom Exp $ +; $Id: modes.rc,v 1.28 2005/09/05 00:41:00 tom Exp $ ; majormodes in this file are ordered alphabetically for convenience - the ; precedence used by vile is strictly alphabetic, counting case. Use the ; before and after qualifiers to override the precedence. @@ -105,6 +105,13 @@ ignorecase comment-prefix '^\s*rem\>' comments '^\s*rem\>\s\+\s*$' + fence-if '^\s*\<setlocal\>' + fence-fi '^\s*\<endlocal\>' +~endwith + +define-mode bnf +~with define-submode bnf + suffixes '\.\(bnf\)$' ~endwith ; we normally use the builtin values, but this is useful for looking at @@ -154,6 +161,12 @@ fence-fi '^\s*\<end\>' ~endwith +define-mode css +~with define-submode css + suffixes '\.\(css\)$' + ignorecase +~endwith + define-mode cweb ~with define-submode cweb suffixes '\.\(w\|web\)$' @@ -270,6 +283,12 @@ fence-end '-->' ~endwith +define-mode midl +~with define-submode midl + suffixes '\.\(idl\)$' + dos +~endwith + define-mode ini ~with define-submode ini suffixes '\.\(ini\)$' @@ -488,6 +507,11 @@ ; fence-fi '^\s*}' ~endwith +define-mode php +~with define-submode php + suffixes '\.\(php\)$' +~endwith + ; gettext message files define-mode pot ~with define-submode pot @@ -762,10 +786,16 @@ fence-fi '^\s*endfunction\>' ~endwith +define-mode wbt +~with define-submode wbt + suffixes '\.\(wbt\)$' + ignorecase +~endwith + define-mode xml ~with define-submode xml suffixes '\.\(xml\|xsl\|xsd\)$' - pre '^\s*<?xml\s' + pre '^\s*<?xml\s' fence-pairs '<>()[]{}' comment-prefix '^\s*--' comments '^\s*$' Index: patchlev.h --- vile-9.5+/patchlev.h 2005-07-24 20:24:47.000000000 +0000 +++ vile-9.5a/patchlev.h 2005-08-30 00:25:11.000000000 +0000 @@ -1,3 +1,3 @@ #define VILE_VERSION "9.5" /* set to "" for no patches */ -#define VILE_PATCHLEVEL "" +#define VILE_PATCHLEVEL "a" Index: revlist --- vile-9.5+/revlist 2005-07-25 23:32:21.000000000 +0000 +++ vile-9.5a/revlist 2005-09-05 22:17:05.000000000 +0000 @@ -1,6 +1,6 @@ -revlist for vile, version v9_5 +revlist for vile, version v9_5a -------------------------------------------------------------------------------- -CHANGES 1.856 +CHANGES 1.861 CHANGES.R3 1.1 CHANGES.R4 1.1 CHANGES.R5 1.1 @@ -13,7 +13,7 @@ README 1.93 README.PC 1.32 README.VMS 1.5 -aclocal.m4 1.154 +aclocal.m4 1.158 ansi.c 1.45 api.c 1.37 api.h 1.13 @@ -31,8 +31,8 @@ config.guess 1.1 config.sub 1.1 config_h.in 1.2 -configure 1.1 -configure.in 1.219 +configure 1.2 +configure.in 1.220 csrch.c 1.32 curses.c 1.20 descrip.mms 1.46 @@ -46,7 +46,7 @@ exec.c 1.279 externs.c 1.10 fences.c 1.83 -file.c 1.383 +file.c 1.385 filec.c 1.121 fileio.c 1.179 finderr.c 1.130 @@ -87,7 +87,7 @@ os2keys.h 1.1 os2pipe.c 1.5 os2vio.c 1.31 -patchlev.h 1.336 +patchlev.h 1.337 path.c 1.150 perl.xs 1.104 plugin.c 1.1 @@ -98,7 +98,7 @@ random.c 1.287 regexp.c 1.110 region.c 1.132 -revlist v9_5 +revlist v9_5a search.c 1.137 select.c 1.158 sinstall.sh 1.1 @@ -114,7 +114,7 @@ ucrypt.c 1.15 undo.c 1.88 version.c 1.57 -vile-9.5.spec 1.1 +vile-9.5.spec 1.2 vile.1 1.30 vile.hlp 1.602 vile.wmconfig 1.1 @@ -148,7 +148,7 @@ doc/Vileserv.doc 1.1 doc/config.doc 1.14 doc/dir.doc 1.3 -doc/filters.doc 1.34 +doc/filters.doc 1.35 doc/macros.doc 1.94 doc/menus.doc 1.7 doc/modes.doc 1.16 @@ -156,46 +156,50 @@ doc/oleauto.doc 1.8 doc/perl.doc 1.2 doc/visvile.doc 1.9 -filters/ada-filt.l 1.11 +filters/ada-filt.l 1.12 filters/ada.key 1.1 -filters/as-filt.l 1.3 +filters/as-filt.l 1.4 filters/as.key 1.2 filters/as386.key 1.2 -filters/asm-filt.l 1.3 +filters/asm-filt.l 1.4 filters/asm.key 1.1 filters/atr2ansi.c 1.2 filters/atr2html.c 1.2 filters/atr2text.c 1.2 -filters/au3-filt.l 1.3 +filters/au3-filt.l 1.4 filters/au3.key 1.4 -filters/awk-filt.l 1.14 +filters/awk-filt.l 1.15 filters/awk.key 1.2 -filters/bas-filt.l 1.8 +filters/bas-filt.l 1.10 filters/basic.key 1.4 -filters/bat-filt.l 1.18 +filters/bat-filt.l 1.21 filters/bat.key 1.4 +filters/bnf-filt.l 1.7 +filters/bnf.key 1.1 filters/c-filt.c 1.73 filters/c-filt.flx 1.1 filters/c.key 1.11 -filters/cfg-filt.l 1.9 +filters/cfg-filt.l 1.10 filters/cpp.key 1.5 filters/csh.key 1.1 +filters/css-filt.l 1.10 +filters/css.key 1.5 filters/cweb.key 1.2 -filters/cwebfilt.l 1.15 -filters/dcl-filt.l 1.15 +filters/cwebfilt.l 1.16 +filters/dcl-filt.l 1.16 filters/dcl.key 1.5 -filters/def-filt.l 1.6 +filters/def-filt.l 1.7 filters/def.key 1.1 filters/diff.key 1.1 filters/difffilt.l 1.6 -filters/ecl-filt.l 1.3 +filters/ecl-filt.l 1.5 filters/ecl.key 1.1 filters/ecrypt.c 1.8 filters/esql.key 1.3 -filters/esqlfilt.l 1.13 -filters/est-filt.l 1.3 +filters/esqlfilt.l 1.14 +filters/est-filt.l 1.4 filters/est.key 1.1 -filters/fdl-filt.l 1.9 +filters/fdl-filt.l 1.10 filters/fdl.key 1.4 filters/filterio.c 1.28 filters/filters.c 1.98 @@ -204,39 +208,41 @@ filters/flt_defs.h 1.1 filters/fltstack.h 1.9 filters/genmake.c 1.4 -filters/genmake.mak 1.26 +filters/genmake.mak 1.29 filters/html.key 1.8 -filters/htmlfilt.l 1.34 +filters/htmlfilt.l 1.35 filters/imake.key 1.4 -filters/imakeflt.l 1.11 -filters/infofilt.l 1.2 -filters/ini-filt.l 1.3 +filters/imakeflt.l 1.14 +filters/infofilt.l 1.4 +filters/ini-filt.l 1.4 filters/ini.key 1.1 -filters/iss-filt.l 1.3 +filters/iss-filt.l 1.4 filters/iss.key 1.4 filters/java.key 1.3 filters/js.key 1.4 filters/key-filt.c 1.19 filters/latex.key 1.2 -filters/latexflt.l 1.39 -filters/lex-filt.l 1.24 +filters/latexflt.l 1.41 +filters/lex-filt.l 1.26 filters/lex.key 1.4 filters/lisp.key 1.2 -filters/lispfilt.l 1.10 +filters/lispfilt.l 1.11 filters/m4-filt.c 1.25 filters/m4.key 1.3 filters/mail.key 1.3 -filters/mailfilt.l 1.14 +filters/mailfilt.l 1.16 filters/make.key 1.8 -filters/makefile.2nd 1.10 +filters/makefile.2nd 1.11 filters/makefile.djg 1.3 filters/makefile.emx 1.4 filters/makefile.icc 1.3 filters/makefile.in 1.80 filters/makefile.wnt 1.31 -filters/makefilt.l 1.50 +filters/makefilt.l 1.52 filters/makelist.sh 1.5 filters/manfilt.c 1.36 +filters/midl.key 1.3 +filters/midlfilt.l 1.2 filters/mk-0th.awk 1.9 filters/mk-0th.bat 1.6 filters/mk-1st.awk 1.12 @@ -244,75 +250,80 @@ filters/mk-2nd.awk 1.17 filters/mk-2nd.bat 1.7 filters/mk-key.awk 1.2 -filters/mms-filt.l 1.10 -filters/nr-filt.l 1.16 +filters/mms-filt.l 1.12 +filters/noclass.sh 1.6 +filters/nr-filt.l 1.17 filters/nr.key 1.1 filters/nsis.key 1.4 -filters/pas-filt.l 1.2 +filters/pas-filt.l 1.3 filters/pas.key 1.3 filters/pc.key 1.1 filters/perl.key 1.8 -filters/perlfilt.l 1.40 +filters/perlfilt.l 1.43 +filters/php-filt.l 1.4 +filters/php.key 1.3 filters/pl-filt.c 1.82 -filters/pot-filt.l 1.3 +filters/pot-filt.l 1.4 filters/pot.key 1.1 -filters/ps-filt.l 1.5 +filters/ps-filt.l 1.7 filters/ps.key 1.2 -filters/py-filt.l 1.11 +filters/py-filt.l 1.12 filters/py.key 1.1 -filters/rb-filt.l 1.12 +filters/rb-filt.l 1.14 filters/rb.key 1.4 -filters/rc-filt.l 1.5 +filters/rc-filt.l 1.6 filters/rc.key 1.2 -filters/rcs-filt.l 1.8 +filters/rcs-filt.l 1.9 filters/rcs.key 1.1 filters/rexx.key 1.1 -filters/rexxfilt.l 1.7 -filters/rpm-filt.l 1.8 +filters/rexxfilt.l 1.8 +filters/rpm-filt.l 1.11 filters/rpm.key 1.3 filters/rubyfilt.c 1.38 filters/sccs.key 1.1 -filters/sccsfilt.l 1.11 +filters/sccsfilt.l 1.12 filters/sed-filt.c 1.20 -filters/sh-filt.l 1.61 +filters/sh-filt.l 1.64 filters/sh.key 1.4 -filters/sml-filt.l 1.4 +filters/sml-filt.l 1.5 filters/sml.key 1.1 filters/spell.rc 1.6 -filters/spellflt.l 1.21 -filters/sql-filt.l 1.25 +filters/spellflt.l 1.23 +filters/sql-filt.l 1.27 filters/sql.key 1.9 filters/syntax.key 1.1 filters/tags.key 1.1 filters/tagsfilt.c 1.7 -filters/tbl-filt.l 1.8 +filters/tbl-filt.l 1.9 filters/tbl.key 1.1 -filters/tc-filt.l 1.21 +filters/tc-filt.l 1.22 filters/tc.key 1.2 -filters/tcl-filt.l 1.14 +filters/tcl-filt.l 1.16 filters/tcl.key 1.10 filters/tex.key 1.3 filters/texi.key 1.1 -filters/texifilt.l 1.2 -filters/ti-filt.l 1.15 +filters/texifilt.l 1.4 +filters/ti-filt.l 1.16 filters/ti.key 1.2 -filters/txt-filt.l 1.17 +filters/txt-filt.l 1.19 filters/unfilter.c 1.8 filters/unfilter.h 1.1 filters/vb.key 1.5 filters/vile.key 1.8 -filters/vilefilt.l 1.30 +filters/vilefilt.l 1.31 filters/vim.key 1.2 -filters/vl-filt.l 1.5 -filters/vlog.key 1.4 -filters/xml-filt.l 1.12 +filters/vl-filt.l 1.11 +filters/vlog.key 1.10 +filters/wbt-filt.l 1.3 +filters/wbt.key 1.3 +filters/xml-filt.l 1.13 filters/xml.key 1.1 filters/xres.key 1.2 -filters/xresfilt.l 1.15 -filters/xs-filt.l 1.10 +filters/xresfilt.l 1.16 +filters/xs-filt.l 1.11 filters/xs.key 1.1 filters/yacc.key 1.5 -filters/yaccfilt.l 1.21 +filters/yaccfilt.l 1.22 icons/pumpkin.ico 1.3 icons/pumpkin.xbm 1.2 icons/pumpkin.xpm 1.2 @@ -329,7 +340,7 @@ macros/gnugpg.rc 1.3 macros/loaderrs.rc 1.1 macros/manpage.rc 1.21 -macros/modes.rc 1.25 +macros/modes.rc 1.28 macros/palettes.rc 1.7 macros/pictmode.rc 1.4 macros/search.rc 1.3 Index: vile-9.5.spec Prereq: 1.1 --- vile-9.5+/vile-9.5.spec 2005-07-24 20:27:49.000000000 +0000 +++ vile-9.5a/vile-9.5.spec 2005-08-30 00:40:38.000000000 +0000 @@ -1,13 +1,14 @@ Summary: VILE VI Like Emacs editor -# $Header: /usr/build/vile/vile/RCS/vile-9.5.spec,v 1.1 2005/07/24 20:27:49 tom Exp $ +# $Header: /usr/build/vile/vile/RCS/vile-9.5.spec,v 1.2 2005/08/30 00:40:38 tom Exp $ Name: vile -Version: 9.5 +Version: 9.5a # each patch should update the version Release: 1 Copyright: GPL Group: Applications/Editors URL: ftp://invisible-island.net/vile Source0: vile-9.5.tgz +Patch1: vile-9.5a.patch.gz # each patch should add itself to this list Packager: Thomas Dickey <dickey@invisible-island.net> BuildRoot: %{_tmppath}/%{name}-root @@ -20,6 +21,7 @@ %prep %setup -q -n vile-9.5 +%patch1 -p1 # each patch should add itself to this list %build @@ -72,6 +74,9 @@ %changelog # each patch should add its ChangeLog entries here +* Sun Aug 29 2005 Thomas Dickey +- added patch for 9.5a + * Sun Jul 24 2005 Thomas Dickey - 9.5 release