Sophie

Sophie

distrib > * > 2010.0 > * > by-pkgid > b36c97ff723e66f0f38bce83645c99dc > files > 1716

exiv2-doc-0.18.2-1mdv2010.0.x86_64.rpm

<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.01 Transitional//EN">
<html><head><meta http-equiv="Content-Type" content="text/html;charset=UTF-8">
<title>Exiv2: value.hpp File Reference</title>
<link href="tabs.css" rel="stylesheet" type="text/css">
<link href="doxygen.css" rel="stylesheet" type="text/css">
</head><body>
<!-- Generated by Doxygen 1.5.9 -->
<div class="navigation" id="top">
  <div class="tabs">
    <ul>
      <li><a href="index.html"><span>Main&nbsp;Page</span></a></li>
      <li><a href="namespaces.html"><span>Namespaces</span></a></li>
      <li><a href="annotated.html"><span>Classes</span></a></li>
      <li class="current"><a href="files.html"><span>Files</span></a></li>
      <li><a href="examples.html"><span>Examples</span></a></li>
    <li>
      <form action="search.php" method="get">
        <table cellspacing="0" cellpadding="0" border="0">
          <tr>
            <td><label>&nbsp;<u>S</u>earch&nbsp;for&nbsp;</label></td>
            <td><input type="text" name="query" value="" size="20" accesskey="s"/></td>
          </tr>
        </table>
      </form>
    </li>
    </ul>
  </div>
  <div class="tabs">
    <ul>
      <li><a href="files.html"><span>File&nbsp;List</span></a></li>
      <li><a href="globals.html"><span>File&nbsp;Members</span></a></li>
    </ul>
  </div>
</div>
<div class="contents">
<h1>value.hpp File Reference</h1>Value interface and concrete subclasses.  
<a href="#_details">More...</a>
<p>
<code>#include &quot;<a class="el" href="types_8hpp.html">types.hpp</a>&quot;</code><br>
<code>#include &lt;string&gt;</code><br>
<code>#include &lt;vector&gt;</code><br>
<code>#include &lt;map&gt;</code><br>
<code>#include &lt;iostream&gt;</code><br>
<code>#include &lt;sstream&gt;</code><br>
<code>#include &lt;memory&gt;</code><br>
<code>#include &lt;cstring&gt;</code><br>

<p>
<div class="dynheader">
Include dependency graph for value.hpp:</div>
<div class="dynsection">
<p><center><img src="value_8hpp__incl.png" border="0" usemap="#value.hpp_map" alt=""></center>
<map name="value.hpp_map">
<area shape="rect" id="node3" href="types_8hpp.html" title="Type definitions for Exiv2 and related functionality." alt="" coords="348,80,460,107"><area shape="rect" id="node5" href="version_8hpp.html" title="Precompiler define and a function to test the Exiv2 version. References: Similar..." alt="" coords="339,155,469,181"></map>
</div>

<p>
<div class="dynheader">
This graph shows which files directly or indirectly include this file:</div>
<div class="dynsection">
<p><center><img src="value_8hpp__dep__incl.png" border="0" usemap="#value.hppdep_map" alt=""></center>
<map name="value.hppdep_map">
<area shape="rect" id="node3" href="metadatum_8hpp.html" title="Provides abstract base classes Metadatum and Key." alt="" coords="2773,80,2925,107"><area shape="rect" id="node5" href="exif_8hpp.html" title="Encoding and decoding of Exif data." alt="" coords="1308,304,1409,331"><area shape="rect" id="node67" href="tags_8hpp.html" title="Exif tag and type information." alt="" coords="2163,155,2264,181"><area shape="rect" id="node72" href="xmp_8hpp.html" title="Encoding and decoding of XMP data." alt="" coords="2804,304,2895,331"><area shape="rect" id="node103" href="iptc_8hpp.html" title="Encoding and decoding of IPTC data." alt="" coords="3025,304,3127,331"><area shape="rect" id="node70" href="properties_8hpp.html" title="XMP property and type information. References: &#160;XMP Specification from Adobe (Property..." alt="" coords="2828,229,2988,256"><area shape="rect" id="node112" href="datasets_8hpp.html" title="IPTC dataset and type information." alt="" coords="3113,155,3255,181"><area shape="rect" id="node7" href="image_8hpp.html" title="Class Image, defining the interface for all Image subclasses." alt="" coords="1857,379,1969,405"><area shape="rect" id="node9" href="actions_8hpp.html" title="Implements base class Task, TaskFactory and the various supported actions (derived..." alt="" coords="5,453,136,480"><area shape="rect" id="node17" href="bmpimage_8hpp.html" title="Windows Bitmap (BMP) image." alt="" coords="160,453,301,480"><area shape="rect" id="node39" href="gifimage_8hpp.html" title="GIF image, implemented using the following references: GIF89 specification by W3C..." alt="" coords="2840,453,2981,480"><area shape="rect" id="node49" href="psdimage_8hpp.html" title="Photoshop image, implemented using the following references: Adobe Photoshop 6.0..." alt="" coords="3005,453,3147,480"><area shape="rect" id="node51" href="tgaimage_8hpp.html" title="Truevision TARGA v2 image, implemented using the following references: Truevision..." alt="" coords="3171,453,3312,480"><area shape="rect" id="node53" href="jp2image_8hpp.html" title="JPEG&#45;2000 image, implemented using the following references: ISO/IEC JTC 1/SC 29/WG1..." alt="" coords="3336,453,3477,480"><area shape="rect" id="node58" href="easyaccess_8hpp.html" title="Provides easy (high&#45;level) access to some Exif meta data." alt="" coords="988,379,1148,405"><area shape="rect" id="node65" href="tiffvisitor__int_8hpp.html" title="Internal operations on a TIFF composite tree, implemented as visitor classes." alt="" coords="1324,379,1535,405"><area shape="rect" id="node11" href="jpgimage_8hpp.html" title="Class JpegImage to access JPEG images." alt="" coords="325,453,467,480"><area shape="rect" id="node13" href="xmpsidecar_8hpp.html" title="An Image subclass to support XMP sidecar files." alt="" coords="491,453,651,480"><area shape="rect" id="node15" href="preview_8hpp.html" title="Classes to access all preview images embedded in an image." alt="" coords="675,453,805,480"><area shape="rect" id="node19" href="cr2image_8hpp.html" title="Class Cr2Image." alt="" coords="829,453,971,480"><area shape="rect" id="node21" href="tiffimage__int_8hpp.html" title="Internal class TiffParserWorker to parse TIFF data." alt="" coords="995,453,1187,480"><area shape="rect" id="node29" href="tiffcomposite__int_8hpp.html" title="Internal classes used in a TIFF composite structure." alt="" coords="1211,453,1443,480"><area shape="rect" id="node33" href="crwimage_8hpp.html" title="Class CrwImage to access Canon CRW images. &#160;References: &#160;The Canon RAW (CRW) File..." alt="" coords="1467,453,1608,480"><area shape="rect" id="node35" href="crwimage__int_8hpp.html" title="Internal classes to support CRW/CIFF format." alt="" coords="1632,453,1813,480"><area shape="rect" id="node37" href="tiffimage_8hpp.html" title="Class TiffImage." alt="" coords="1837,453,1989,480"><area shape="rect" id="node41" href="mrwimage_8hpp.html" title="Minolta RAW image, implemented using the following references: Minolta Raw file format..." alt="" coords="2013,453,2155,480"><area shape="rect" id="node43" href="pngimage_8hpp.html" title="PNG image, implemented using the following references: PNG specification by W3C ..." alt="" coords="2179,453,2320,480"><area shape="rect" id="node45" href="rafimage_8hpp.html" title="Fujifilm RAW image." alt="" coords="2344,453,2485,480"><area shape="rect" id="node47" href="orfimage_8hpp.html" title="Olympus RAW image." alt="" coords="2509,453,2651,480"><area shape="rect" id="node55" href="rw2image_8hpp.html" title="Class Rw2Image." alt="" coords="2675,453,2816,480"><area shape="rect" id="node23" href="cr2image__int_8hpp.html" title="Internal classes to support CR2 image format." alt="" coords="909,528,1091,555"><area shape="rect" id="node25" href="orfimage__int_8hpp.html" title="Internal classes to support Olympus RAW image format." alt="" coords="1115,528,1296,555"><area shape="rect" id="node27" href="rw2image__int_8hpp.html" title="Internal classes to support RW2 image format." alt="" coords="704,528,885,555"><area shape="rect" id="node31" href="makernote__int_8hpp.html" title="Internal Makernote TIFF composite class TiffIfdMakernote and classes for various..." alt="" coords="1320,528,1512,555"><area shape="rect" id="node75" href="canonmn_8hpp.html" title="Canon makernote tags. References: &#160;[1] EXIF MakerNote of Canon by David Burren &#160;[2]..." alt="" coords="2148,229,2279,256"><area shape="rect" id="node79" href="fujimn_8hpp.html" title="Fujifilm MakerNote implemented according to the specification in Appendix 4: Makernote..." alt="" coords="2303,229,2423,256"><area shape="rect" id="node82" href="minoltamn_8hpp.html" title="Minolta MakerNote implemented using the following references: &#160;Minolta Makernote..." alt="" coords="2447,229,2599,256"><area shape="rect" id="node85" href="nikonmn_8hpp.html" title="Nikon makernote tags. References: &#160;[1] MakerNote EXIF Tag of the Nikon 990 by Max..." alt="" coords="2623,229,2753,256"><area shape="rect" id="node88" href="olympusmn_8hpp.html" title="Olympus makernote tags. References: &#160;[1] Exif file format, Appendix 1: MakerNote..." alt="" coords="1313,229,1465,256"><area shape="rect" id="node91" href="panasonicmn_8hpp.html" title="Panasonic MakerNote implemented using the following references: Panasonic MakerNote..." alt="" coords="1489,229,1660,256"><area shape="rect" id="node94" href="pentaxmn_8hpp.html" title="Pentax MakerNote implemented according to the specification http://www.gvsoft.homedns..." alt="" coords="1684,229,1825,256"><area shape="rect" id="node97" href="sigmamn_8hpp.html" title="Sigma and Foveon MakerNote implemented according to the specification SIGMA and FOVEON..." alt="" coords="1849,229,1980,256"><area shape="rect" id="node100" href="sonymn_8hpp.html" title="Basic Sony MakerNote implementation." alt="" coords="2004,229,2124,256"><area shape="rect" id="node77" href="mn_8hpp.html" title="Include all makernote header files. Makes sure that the static variable used to register..." alt="" coords="2024,304,2104,331"></map>
</div>
<table border="0" cellpadding="0" cellspacing="0">
<tr><td></td></tr>
<tr><td colspan="2"><br><h2>Classes</h2></td></tr>
<tr><td class="memItemLeft" nowrap align="right" valign="top">class &nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classExiv2_1_1Value.html">Exiv2::Value</a></td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Common interface for all types of values used with metadata.  <a href="classExiv2_1_1Value.html#_details">More...</a><br></td></tr>
<tr><td class="memItemLeft" nowrap align="right" valign="top">class &nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classExiv2_1_1DataValue.html">Exiv2::DataValue</a></td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Value for an undefined data type.  <a href="classExiv2_1_1DataValue.html#_details">More...</a><br></td></tr>
<tr><td class="memItemLeft" nowrap align="right" valign="top">class &nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classExiv2_1_1StringValueBase.html">Exiv2::StringValueBase</a></td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Abstract base class for a string based Value type.  <a href="classExiv2_1_1StringValueBase.html#_details">More...</a><br></td></tr>
<tr><td class="memItemLeft" nowrap align="right" valign="top">class &nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classExiv2_1_1StringValue.html">Exiv2::StringValue</a></td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Value for string type.  <a href="classExiv2_1_1StringValue.html#_details">More...</a><br></td></tr>
<tr><td class="memItemLeft" nowrap align="right" valign="top">class &nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classExiv2_1_1AsciiValue.html">Exiv2::AsciiValue</a></td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Value for an Ascii string type.  <a href="classExiv2_1_1AsciiValue.html#_details">More...</a><br></td></tr>
<tr><td class="memItemLeft" nowrap align="right" valign="top">class &nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classExiv2_1_1CommentValue.html">Exiv2::CommentValue</a></td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Value for an Exif comment.  <a href="classExiv2_1_1CommentValue.html#_details">More...</a><br></td></tr>
<tr><td class="memItemLeft" nowrap align="right" valign="top">struct &nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="structExiv2_1_1CommentValue_1_1CharsetTable.html">Exiv2::CommentValue::CharsetTable</a></td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Information pertaining to the defined character sets.  <a href="structExiv2_1_1CommentValue_1_1CharsetTable.html#_details">More...</a><br></td></tr>
<tr><td class="memItemLeft" nowrap align="right" valign="top">class &nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classExiv2_1_1CommentValue_1_1CharsetInfo.html">Exiv2::CommentValue::CharsetInfo</a></td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Charset information lookup functions. Implemented as a static class.  <a href="classExiv2_1_1CommentValue_1_1CharsetInfo.html#_details">More...</a><br></td></tr>
<tr><td class="memItemLeft" nowrap align="right" valign="top">class &nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classExiv2_1_1XmpValue.html">Exiv2::XmpValue</a></td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Base class for all <a class="el" href="namespaceExiv2.html" title="Provides classes and functions to encode and decode Exif and Iptc data. The libexiv2...">Exiv2</a> values used to store XMP property values.  <a href="classExiv2_1_1XmpValue.html#_details">More...</a><br></td></tr>
<tr><td class="memItemLeft" nowrap align="right" valign="top">class &nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classExiv2_1_1XmpTextValue.html">Exiv2::XmpTextValue</a></td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Value type suitable for simple XMP properties and XMP nodes of complex types which are not parsed into specific values.  <a href="classExiv2_1_1XmpTextValue.html#_details">More...</a><br></td></tr>
<tr><td class="memItemLeft" nowrap align="right" valign="top">class &nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classExiv2_1_1XmpArrayValue.html">Exiv2::XmpArrayValue</a></td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Value type for simple arrays. Each item in the array is a simple value, without qualifiers. The array may be an ordered (<em>seq</em>), unordered (<em>bag</em>) or alternative array (<em>alt</em>). The array items must not contain qualifiers. For language alternatives use <a class="el" href="classExiv2_1_1LangAltValue.html" title="Value type for XMP language alternative properties.">LangAltValue</a>.  <a href="classExiv2_1_1XmpArrayValue.html#_details">More...</a><br></td></tr>
<tr><td class="memItemLeft" nowrap align="right" valign="top">class &nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classExiv2_1_1LangAltValue.html">Exiv2::LangAltValue</a></td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Value type for XMP language alternative properties.  <a href="classExiv2_1_1LangAltValue.html#_details">More...</a><br></td></tr>
<tr><td class="memItemLeft" nowrap align="right" valign="top">class &nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classExiv2_1_1DateValue.html">Exiv2::DateValue</a></td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Value for simple ISO 8601 dates  <a href="classExiv2_1_1DateValue.html#_details">More...</a><br></td></tr>
<tr><td class="memItemLeft" nowrap align="right" valign="top">struct &nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="structExiv2_1_1DateValue_1_1Date.html">Exiv2::DateValue::Date</a></td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Simple <a class="el" href="structExiv2_1_1DateValue_1_1Date.html" title="Simple Date helper structure.">Date</a> helper structure.  <a href="structExiv2_1_1DateValue_1_1Date.html#_details">More...</a><br></td></tr>
<tr><td class="memItemLeft" nowrap align="right" valign="top">class &nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classExiv2_1_1TimeValue.html">Exiv2::TimeValue</a></td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Value for simple ISO 8601 times.  <a href="classExiv2_1_1TimeValue.html#_details">More...</a><br></td></tr>
<tr><td class="memItemLeft" nowrap align="right" valign="top">struct &nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="structExiv2_1_1TimeValue_1_1Time.html">Exiv2::TimeValue::Time</a></td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Simple <a class="el" href="structExiv2_1_1TimeValue_1_1Time.html" title="Simple Time helper structure.">Time</a> helper structure.  <a href="structExiv2_1_1TimeValue_1_1Time.html#_details">More...</a><br></td></tr>
<tr><td class="memItemLeft" nowrap align="right" valign="top">class &nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="classExiv2_1_1ValueType.html">Exiv2::ValueType&lt; T &gt;</a></td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Template for a Value of a basic type. This is used for unsigned and signed short, long and rationals.  <a href="classExiv2_1_1ValueType.html#_details">More...</a><br></td></tr>
<tr><td colspan="2"><br><h2>Namespaces</h2></td></tr>
<tr><td class="memItemLeft" nowrap align="right" valign="top">namespace &nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="namespaceExiv2.html">Exiv2</a></td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Provides classes and functions to encode and decode Exif and Iptc data. The <b>libexiv2</b> API consists of the objects of this namespace. <br></td></tr>

<p>
<tr><td colspan="2"><br><h2>Typedefs</h2></td></tr>
<tr><td class="memItemLeft" nowrap align="right" valign="top"><a class="anchor" name="3f00bf58d6021c8c297f070d4a761651"></a><!-- doxytag: member="value.hpp::UShortValue" ref="3f00bf58d6021c8c297f070d4a761651" args="" -->
typedef ValueType&lt; uint16_t &gt;&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="namespaceExiv2.html#3f00bf58d6021c8c297f070d4a761651">Exiv2::UShortValue</a></td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Unsigned short value type. <br></td></tr>
<tr><td class="memItemLeft" nowrap align="right" valign="top"><a class="anchor" name="b25aa284d2da55e64eba4e8ed0692149"></a><!-- doxytag: member="value.hpp::ULongValue" ref="b25aa284d2da55e64eba4e8ed0692149" args="" -->
typedef ValueType&lt; uint32_t &gt;&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="namespaceExiv2.html#b25aa284d2da55e64eba4e8ed0692149">Exiv2::ULongValue</a></td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Unsigned long value type. <br></td></tr>
<tr><td class="memItemLeft" nowrap align="right" valign="top"><a class="anchor" name="16f4de646ee869927f6246645b142626"></a><!-- doxytag: member="value.hpp::URationalValue" ref="16f4de646ee869927f6246645b142626" args="" -->
typedef ValueType&lt; URational &gt;&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="namespaceExiv2.html#16f4de646ee869927f6246645b142626">Exiv2::URationalValue</a></td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Unsigned rational value type. <br></td></tr>
<tr><td class="memItemLeft" nowrap align="right" valign="top"><a class="anchor" name="67665147cbe2c7bd22af5aed7b7478ca"></a><!-- doxytag: member="value.hpp::ShortValue" ref="67665147cbe2c7bd22af5aed7b7478ca" args="" -->
typedef ValueType&lt; int16_t &gt;&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="namespaceExiv2.html#67665147cbe2c7bd22af5aed7b7478ca">Exiv2::ShortValue</a></td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Signed short value type. <br></td></tr>
<tr><td class="memItemLeft" nowrap align="right" valign="top"><a class="anchor" name="47f8d64316779977c1869da776c26b6e"></a><!-- doxytag: member="value.hpp::LongValue" ref="47f8d64316779977c1869da776c26b6e" args="" -->
typedef ValueType&lt; int32_t &gt;&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="namespaceExiv2.html#47f8d64316779977c1869da776c26b6e">Exiv2::LongValue</a></td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Signed long value type. <br></td></tr>
<tr><td class="memItemLeft" nowrap align="right" valign="top"><a class="anchor" name="b2a69ae1200f28233d89237bcefe952f"></a><!-- doxytag: member="value.hpp::RationalValue" ref="b2a69ae1200f28233d89237bcefe952f" args="" -->
typedef ValueType&lt; Rational &gt;&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="namespaceExiv2.html#b2a69ae1200f28233d89237bcefe952f">Exiv2::RationalValue</a></td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Signed rational value type. <br></td></tr>
<tr><td colspan="2"><br><h2>Functions</h2></td></tr>
<tr><td class="memItemLeft" nowrap align="right" valign="top"><a class="anchor" name="be882054db13fc2f4f6f7e8e1261d982"></a><!-- doxytag: member="value.hpp::operator&lt;&lt;" ref="be882054db13fc2f4f6f7e8e1261d982" args="(std::ostream &amp;os, const Value &amp;value)" -->
std::ostream &amp;&nbsp;</td><td class="memItemRight" valign="bottom"><a class="el" href="namespaceExiv2.html#be882054db13fc2f4f6f7e8e1261d982">Exiv2::operator&lt;&lt;</a> (std::ostream &amp;os, const Value &amp;value)</td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Output operator for <a class="el" href="classExiv2_1_1Value.html" title="Common interface for all types of values used with metadata.">Value</a> types. <br></td></tr>
<tr><td class="memTemplParams" nowrap colspan="2"><a class="anchor" name="0c1039b2dcc4a13dd73b073b8870e1cc"></a><!-- doxytag: member="value.hpp::getType" ref="0c1039b2dcc4a13dd73b073b8870e1cc" args="()" -->
template&lt;typename T &gt; </td></tr>
<tr><td class="memTemplItemLeft" nowrap align="right" valign="top">TypeId&nbsp;</td><td class="memTemplItemRight" valign="bottom"><a class="el" href="namespaceExiv2.html#0c1039b2dcc4a13dd73b073b8870e1cc">Exiv2::getType</a> ()</td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Template to determine the TypeId for a type T. <br></td></tr>
<tr><td class="memTemplParams" nowrap colspan="2"><a class="anchor" name="7adfad5af77bd5159197b1023a7c339b"></a><!-- doxytag: member="value.hpp::getType&lt; uint16_t &gt;" ref="7adfad5af77bd5159197b1023a7c339b" args="()" -->
template&lt;&gt; </td></tr>
<tr><td class="memTemplItemLeft" nowrap align="right" valign="top">TypeId&nbsp;</td><td class="memTemplItemRight" valign="bottom"><a class="el" href="namespaceExiv2.html#7adfad5af77bd5159197b1023a7c339b">Exiv2::getType&lt; uint16_t &gt;</a> ()</td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Specialization for an unsigned short. <br></td></tr>
<tr><td class="memTemplParams" nowrap colspan="2"><a class="anchor" name="6fc05bba9d3e15439a68b4606e0b7734"></a><!-- doxytag: member="value.hpp::getType&lt; uint32_t &gt;" ref="6fc05bba9d3e15439a68b4606e0b7734" args="()" -->
template&lt;&gt; </td></tr>
<tr><td class="memTemplItemLeft" nowrap align="right" valign="top">TypeId&nbsp;</td><td class="memTemplItemRight" valign="bottom"><a class="el" href="namespaceExiv2.html#6fc05bba9d3e15439a68b4606e0b7734">Exiv2::getType&lt; uint32_t &gt;</a> ()</td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Specialization for an unsigned long. <br></td></tr>
<tr><td class="memTemplParams" nowrap colspan="2"><a class="anchor" name="66cebda7b6b4876c32d580ba35ef8294"></a><!-- doxytag: member="value.hpp::getType&lt; URational &gt;" ref="66cebda7b6b4876c32d580ba35ef8294" args="()" -->
template&lt;&gt; </td></tr>
<tr><td class="memTemplItemLeft" nowrap align="right" valign="top">TypeId&nbsp;</td><td class="memTemplItemRight" valign="bottom"><a class="el" href="namespaceExiv2.html#66cebda7b6b4876c32d580ba35ef8294">Exiv2::getType&lt; URational &gt;</a> ()</td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Specialization for an unsigned rational. <br></td></tr>
<tr><td class="memTemplParams" nowrap colspan="2"><a class="anchor" name="86127b5e02b3848bf87c35cee38c6615"></a><!-- doxytag: member="value.hpp::getType&lt; int16_t &gt;" ref="86127b5e02b3848bf87c35cee38c6615" args="()" -->
template&lt;&gt; </td></tr>
<tr><td class="memTemplItemLeft" nowrap align="right" valign="top">TypeId&nbsp;</td><td class="memTemplItemRight" valign="bottom"><a class="el" href="namespaceExiv2.html#86127b5e02b3848bf87c35cee38c6615">Exiv2::getType&lt; int16_t &gt;</a> ()</td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Specialization for a signed short. <br></td></tr>
<tr><td class="memTemplParams" nowrap colspan="2"><a class="anchor" name="5353aa3b5e2449e040a6bd5b953d0975"></a><!-- doxytag: member="value.hpp::getType&lt; int32_t &gt;" ref="5353aa3b5e2449e040a6bd5b953d0975" args="()" -->
template&lt;&gt; </td></tr>
<tr><td class="memTemplItemLeft" nowrap align="right" valign="top">TypeId&nbsp;</td><td class="memTemplItemRight" valign="bottom"><a class="el" href="namespaceExiv2.html#5353aa3b5e2449e040a6bd5b953d0975">Exiv2::getType&lt; int32_t &gt;</a> ()</td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Specialization for a signed long. <br></td></tr>
<tr><td class="memTemplParams" nowrap colspan="2"><a class="anchor" name="f35f1ff99ad498f4eefe425f48e35d41"></a><!-- doxytag: member="value.hpp::getType&lt; Rational &gt;" ref="f35f1ff99ad498f4eefe425f48e35d41" args="()" -->
template&lt;&gt; </td></tr>
<tr><td class="memTemplItemLeft" nowrap align="right" valign="top">TypeId&nbsp;</td><td class="memTemplItemRight" valign="bottom"><a class="el" href="namespaceExiv2.html#f35f1ff99ad498f4eefe425f48e35d41">Exiv2::getType&lt; Rational &gt;</a> ()</td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Specialization for a signed rational. <br></td></tr>
<tr><td class="memTemplParams" nowrap colspan="2">template&lt;typename T &gt; </td></tr>
<tr><td class="memTemplItemLeft" nowrap align="right" valign="top">T&nbsp;</td><td class="memTemplItemRight" valign="bottom"><a class="el" href="namespaceExiv2.html#574c8e7e118e2d5636d187172babd438">Exiv2::getValue</a> (const byte *buf, ByteOrder byteOrder)</td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Read a value of type T from the data buffer.  <a href="#574c8e7e118e2d5636d187172babd438"></a><br></td></tr>
<tr><td class="memTemplParams" nowrap colspan="2">template&lt;typename T &gt; </td></tr>
<tr><td class="memTemplItemLeft" nowrap align="right" valign="top">long&nbsp;</td><td class="memTemplItemRight" valign="bottom"><a class="el" href="namespaceExiv2.html#355a8786cb561ae78b899fc548ed2cf2">Exiv2::toData</a> (byte *buf, T t, ByteOrder byteOrder)</td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Convert a value of type T to data, write the data to the data buffer.  <a href="#355a8786cb561ae78b899fc548ed2cf2"></a><br></td></tr>
<tr><td class="memTemplParams" nowrap colspan="2"><a class="anchor" name="12e304e7ef40c33ae5bbe9ff5e0b2ffb"></a><!-- doxytag: member="value.hpp::toData" ref="12e304e7ef40c33ae5bbe9ff5e0b2ffb" args="(byte *buf, uint16_t t, ByteOrder byteOrder)" -->
template&lt;&gt; </td></tr>
<tr><td class="memTemplItemLeft" nowrap align="right" valign="top">long&nbsp;</td><td class="memTemplItemRight" valign="bottom"><a class="el" href="namespaceExiv2.html#12e304e7ef40c33ae5bbe9ff5e0b2ffb">Exiv2::toData</a> (byte *buf, uint16_t t, ByteOrder byteOrder)</td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Specialization to write an unsigned short to the data buffer. Return the number of bytes written. <br></td></tr>
<tr><td class="memTemplParams" nowrap colspan="2"><a class="anchor" name="984d33ff6407b88656bebe7529f551f4"></a><!-- doxytag: member="value.hpp::toData" ref="984d33ff6407b88656bebe7529f551f4" args="(byte *buf, uint32_t t, ByteOrder byteOrder)" -->
template&lt;&gt; </td></tr>
<tr><td class="memTemplItemLeft" nowrap align="right" valign="top">long&nbsp;</td><td class="memTemplItemRight" valign="bottom"><a class="el" href="namespaceExiv2.html#984d33ff6407b88656bebe7529f551f4">Exiv2::toData</a> (byte *buf, uint32_t t, ByteOrder byteOrder)</td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Specialization to write an unsigned long to the data buffer. Return the number of bytes written. <br></td></tr>
<tr><td class="memTemplParams" nowrap colspan="2"><a class="anchor" name="3813b8b2cdea3616a9dc1bf033c4ad35"></a><!-- doxytag: member="value.hpp::toData" ref="3813b8b2cdea3616a9dc1bf033c4ad35" args="(byte *buf, URational t, ByteOrder byteOrder)" -->
template&lt;&gt; </td></tr>
<tr><td class="memTemplItemLeft" nowrap align="right" valign="top">long&nbsp;</td><td class="memTemplItemRight" valign="bottom"><a class="el" href="namespaceExiv2.html#3813b8b2cdea3616a9dc1bf033c4ad35">Exiv2::toData</a> (byte *buf, URational t, ByteOrder byteOrder)</td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Specialization to write an unsigned rational to the data buffer. Return the number of bytes written. <br></td></tr>
<tr><td class="memTemplParams" nowrap colspan="2"><a class="anchor" name="d4c31ff911e74ee1b649ca43432ac762"></a><!-- doxytag: member="value.hpp::toData" ref="d4c31ff911e74ee1b649ca43432ac762" args="(byte *buf, int16_t t, ByteOrder byteOrder)" -->
template&lt;&gt; </td></tr>
<tr><td class="memTemplItemLeft" nowrap align="right" valign="top">long&nbsp;</td><td class="memTemplItemRight" valign="bottom"><a class="el" href="namespaceExiv2.html#d4c31ff911e74ee1b649ca43432ac762">Exiv2::toData</a> (byte *buf, int16_t t, ByteOrder byteOrder)</td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Specialization to write a signed short to the data buffer. Return the number of bytes written. <br></td></tr>
<tr><td class="memTemplParams" nowrap colspan="2"><a class="anchor" name="f1dc94d2d78cdf6fc00c26daad801e94"></a><!-- doxytag: member="value.hpp::toData" ref="f1dc94d2d78cdf6fc00c26daad801e94" args="(byte *buf, int32_t t, ByteOrder byteOrder)" -->
template&lt;&gt; </td></tr>
<tr><td class="memTemplItemLeft" nowrap align="right" valign="top">long&nbsp;</td><td class="memTemplItemRight" valign="bottom"><a class="el" href="namespaceExiv2.html#f1dc94d2d78cdf6fc00c26daad801e94">Exiv2::toData</a> (byte *buf, int32_t t, ByteOrder byteOrder)</td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Specialization to write a signed long to the data buffer. Return the number of bytes written. <br></td></tr>
<tr><td class="memTemplParams" nowrap colspan="2"><a class="anchor" name="48e899f923b524295cab6b2f56a77b17"></a><!-- doxytag: member="value.hpp::toData" ref="48e899f923b524295cab6b2f56a77b17" args="(byte *buf, Rational t, ByteOrder byteOrder)" -->
template&lt;&gt; </td></tr>
<tr><td class="memTemplItemLeft" nowrap align="right" valign="top">long&nbsp;</td><td class="memTemplItemRight" valign="bottom"><a class="el" href="namespaceExiv2.html#48e899f923b524295cab6b2f56a77b17">Exiv2::toData</a> (byte *buf, Rational t, ByteOrder byteOrder)</td></tr>

<tr><td class="mdescLeft">&nbsp;</td><td class="mdescRight">Specialization to write a signed rational to the data buffer. Return the number of bytes written. <br></td></tr>
</table>
<hr><h2>Detailed Description</h2>
Value interface and concrete subclasses. 
<p>
<dl class="version" compact><dt><b>Version:</b></dt><dd></dd></dl>
<dl class="rcs" compact><dt><b>Rev</b></dt><dd>1802 </dd></dl>
<dl class="author" compact><dt><b>Author:</b></dt><dd>Andreas Huggel (ahu) <a href="mailto:ahuggel@gmx.net">ahuggel@gmx.net</a> </dd></dl>
<dl class="date" compact><dt><b>Date:</b></dt><dd>09-Jan-04, ahu: created 11-Feb-04, ahu: isolated as a component 31-Jul-04, brad: added Time, Data and String values </dd></dl>
</div>
<hr size="1"><address style="text-align: right;"><small>Generated on Thu Jun 25 15:17:38 2009 for Exiv2 by&nbsp;
<a href="http://www.doxygen.org/index.html">
<img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.9 </small></address>
</body>
</html>