Sophie

Sophie

distrib > Fedora > 14 > x86_64 > media > updates > by-pkgid > ffa1e6f5ad04360808fe8840fe3ba036 > files > 224

vrq-devel-1.0.88-1.fc14.i686.rpm

<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.01 Transitional//EN">
<html><head><meta http-equiv="Content-Type" content="text/html;charset=UTF-8">
<title>vrq: /net/fileserver/nas/home/mdh/vrq/src/cmodule.h Source File</title>
<link href="doxygen.css" rel="stylesheet" type="text/css">
<link href="tabs.css" rel="stylesheet" type="text/css">
  <table border="0" cellspacing="0" cellpadding="0" width="100%">
   <tr>
    <td width="180"><a href="index.html"><img src="vrq.png" width="150" height="100" border="0" alt="vrq"></a></td>
    <td background="top_bg.png" align="right" width="100%">
      <img src="glyth.png" width="500" height="100" border="0" alt="">
    </td>
   </tr>
   <tr>
    <td background="#FFFFFF" align="right" width="50" height="4"></td>
   </tr>
  </table>
</head><body>
<!-- Generated by Doxygen 1.5.5 -->
<div class="navigation" id="top">
  <div class="tabs">
    <ul>
      <li><a href="index.html"><span>Main&nbsp;Page</span></a></li>
      <li><a href="pages.html"><span>Related&nbsp;Pages</span></a></li>
      <li><a href="modules.html"><span>Modules</span></a></li>
      <li><a href="annotated.html"><span>Classes</span></a></li>
      <li class="current"><a href="files.html"><span>Files</span></a></li>
    </ul>
  </div>
<h1>/net/fileserver/nas/home/mdh/vrq/src/cmodule.h</h1><a href="cmodule_8h.html">Go to the documentation of this file.</a><div class="fragment"><pre class="fragment"><a name="l00001"></a>00001 <span class="comment">/*****************************************************************************</span>
<a name="l00002"></a>00002 <span class="comment"> * Copyright (C) 1997-2007, Mark Hummel</span>
<a name="l00003"></a>00003 <span class="comment"> * This file is part of Vrq.</span>
<a name="l00004"></a>00004 <span class="comment"> *</span>
<a name="l00005"></a>00005 <span class="comment"> * Vrq is free software; you can redistribute it and/or</span>
<a name="l00006"></a>00006 <span class="comment"> * modify it under the terms of the GNU General Public</span>
<a name="l00007"></a>00007 <span class="comment"> * License as published by the Free Software Foundation; either</span>
<a name="l00008"></a>00008 <span class="comment"> * version 2 of the License, or (at your option) any later version.</span>
<a name="l00009"></a>00009 <span class="comment"> *</span>
<a name="l00010"></a>00010 <span class="comment"> * Vrq is distributed in the hope that it will be useful,</span>
<a name="l00011"></a>00011 <span class="comment"> * but WITHOUT ANY WARRANTY; without even the implied warranty of</span>
<a name="l00012"></a>00012 <span class="comment"> * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU</span>
<a name="l00013"></a>00013 <span class="comment"> * General Public License for more details.</span>
<a name="l00014"></a>00014 <span class="comment"> *</span>
<a name="l00015"></a>00015 <span class="comment"> * You should have received a copy of the GNU General Public</span>
<a name="l00016"></a>00016 <span class="comment"> * License along with this library; if not, write to the Free Software</span>
<a name="l00017"></a>00017 <span class="comment"> * Foundation, Inc., 51 Franklin Street, Fifth Floor, </span>
<a name="l00018"></a>00018 <span class="comment"> * Boston, MA  02110-1301  USA</span>
<a name="l00019"></a>00019 <span class="comment"> *****************************************************************************</span>
<a name="l00020"></a>00020 <span class="comment"> */</span>
<a name="l00021"></a>00021 <span class="comment">/******************************************************************************</span>
<a name="l00022"></a>00022 <span class="comment"> *</span>
<a name="l00023"></a>00023 <span class="comment"> *</span>
<a name="l00024"></a>00024 <span class="comment"> *         cmodule.hpp</span>
<a name="l00025"></a>00025 <span class="comment"> *              - class definition of module, macromodules, and primitive </span>
<a name="l00026"></a>00026 <span class="comment"> *                definition nodes</span>
<a name="l00027"></a>00027 <span class="comment"> *</span>
<a name="l00028"></a>00028 <span class="comment"> ******************************************************************************</span>
<a name="l00029"></a>00029 <span class="comment"> */</span>
<a name="l00030"></a>00030 
<a name="l00031"></a>00031 <span class="preprocessor">#ifndef CMODULE_HPP</span>
<a name="l00032"></a>00032 <span class="preprocessor"></span><span class="preprocessor">#define CMODULE_HPP</span>
<a name="l00033"></a>00033 <span class="preprocessor"></span>
<a name="l00034"></a>00034 <span class="preprocessor">#include &lt;stdio.h&gt;</span>
<a name="l00035"></a>00035 <span class="preprocessor">#include &lt;vector&gt;</span> 
<a name="l00036"></a>00036 <span class="preprocessor">#include "<a class="code" href="glue_8h.html">glue.h</a>"</span>
<a name="l00037"></a>00037 <span class="preprocessor">#include "<a class="code" href="cdecl_8h.html">cdecl.h</a>"</span>
<a name="l00038"></a>00038 <span class="preprocessor">#include "<a class="code" href="csymtab_8h.html">csymtab.h</a>"</span>
<a name="l00039"></a>00039 <span class="preprocessor">#include "<a class="code" href="cblock_8h.html">cblock.h</a>"</span>
<a name="l00040"></a>00040 <span class="preprocessor">#include "<a class="code" href="cnode_8h.html">cnode.h</a>"</span>
<a name="l00041"></a>00041 <span class="keyword">extern</span> <span class="stringliteral">"C"</span> {
<a name="l00042"></a>00042 <span class="preprocessor">    #include "vpi_user.h"</span>
<a name="l00043"></a>00043 }
<a name="l00044"></a>00044 
<a name="l00045"></a>00045 
<a name="l00046"></a>00046 <span class="keyword">class </span><a class="code" href="classCInstance.html" title="Declaration object for module and gate instances.">CInstance</a>;
<a name="l00047"></a>00047 <span class="keyword">class </span><a class="code" href="classCParam.html" title="Declaration object for parameters.">CParam</a>;
<a name="l00048"></a>00048 <span class="keyword">class </span><a class="code" href="classCReg.html" title="Declaration object for registers.">CReg</a>;
<a name="l00049"></a>00049 <span class="keyword">class </span><a class="code" href="classCReal.html" title="Declaration object for real variables.">CReal</a>;
<a name="l00050"></a>00050 <span class="keyword">class </span><a class="code" href="classCPortDir.html" title="Declaration object for input/output/inout statements.">CPortDir</a>;
<a name="l00051"></a>00051 <span class="keyword">class </span><a class="code" href="classCFref.html" title="Forward reference declaration.">CFref</a>;
<a name="l00052"></a>00052 <span class="keyword">class </span><a class="code" href="classCNode.html" title="Primary data structure representing parse tree nodes.">CNode</a>;
<a name="l00053"></a>00053 
<a name="l00054"></a>00054 
<a name="l00055"></a><a class="code" href="classCModule.html">00055</a> <span class="keyword">class </span><a class="code" href="classCModule.html">CModule</a>: <span class="keyword">public</span> <a class="code" href="classCBlock.html" title="Declaration class for block constructs.">CBlock</a>
<a name="l00056"></a>00056 {
<a name="l00057"></a>00057 <span class="keyword">private</span>:
<a name="l00058"></a>00058         <span class="keywordtype">int</span>               isMacroModule;   
<a name="l00059"></a>00059         <span class="keywordtype">int</span>               isPrimitive;     
<a name="l00060"></a>00060         vector&lt;CInstance*&gt; instanceList;   
<a name="l00061"></a>00061         vector&lt;CFunction*&gt; functionList;   
<a name="l00062"></a>00062         vector&lt;CFref*&gt;    frefList;        
<a name="l00063"></a>00063         <span class="keywordtype">int</span>               portListValid;   
<a name="l00064"></a>00064 
<a name="l00065"></a>00065         vector&lt;CPort*&gt;    portList;        
<a name="l00066"></a>00066         <span class="keywordtype">int</span>               portDirListValid;
<a name="l00067"></a>00067 
<a name="l00068"></a>00068         vector&lt;CPortDir*&gt;  portDirList;    
<a name="l00069"></a>00069         <a class="code" href="classCNode.html" title="Primary data structure representing parse tree nodes.">CNode</a>*            ports;           
<a name="l00070"></a>00070         <span class="keywordtype">int</span>               defined;         
<a name="l00071"></a>00071 
<a name="l00072"></a>00072         <a class="code" href="classCSymtab.html" title="Aux class used to create symbol table scoping.">CSymtab&lt;CDecl&gt;</a>    frefSymtab;      
<a name="l00073"></a>00073         <a class="code" href="classCSymtab.html" title="Aux class used to create symbol table scoping.">CSymtab&lt;CDecl&gt;</a>    portSymtab;      
<a name="l00074"></a>00074         <span class="keywordtype">int</span>               moduleDirectlyDefined; 
<a name="l00075"></a>00075 
<a name="l00076"></a>00076 
<a name="l00077"></a>00077         <span class="keywordtype">int</span>               moduleIncluded;  
<a name="l00078"></a>00078 
<a name="l00079"></a>00079         <span class="keywordtype">int</span>               cell;            
<a name="l00080"></a>00080         <span class="keywordtype">int</span>               protect;         
<a name="l00081"></a>00081         <span class="keywordtype">int</span>               hasTimescale;    
<a name="l00082"></a>00082         <span class="keywordtype">int</span>               precision;       
<a name="l00083"></a>00083         <span class="keywordtype">int</span>               unit;            
<a name="l00084"></a>00084         <span class="keywordtype">int</span>               defaultNetType;  
<a name="l00085"></a>00085 
<a name="l00086"></a>00086         <span class="keywordtype">int</span>               unconnectedDrive;
<a name="l00087"></a>00087 
<a name="l00088"></a>00088         <span class="keywordtype">int</span>               inlineParamDecls;
<a name="l00089"></a>00089 
<a name="l00090"></a>00090         <span class="keywordtype">int</span>               inlinePortDecls; 
<a name="l00091"></a>00091 
<a name="l00092"></a>00092 <span class="keyword">public</span>:
<a name="l00098"></a>00098         <span class="keyword">static</span> <a class="code" href="classCModule.html">CModule</a>* <a class="code" href="classCModule.html#bf28a0957508c301702a8e137ce209ec" title="Find module declaration from name.">LookupModule</a>( <span class="keywordtype">char</span>* aName );
<a name="l00104"></a>00104         <a class="code" href="classCModule.html#142b9eeb9e7e6803cbbf11fcfb2d83f2" title="Create a module declaration.">CModule</a>( <a class="code" href="classCSymbol.html" title="Holder for character strings.">CSymbol</a>* symbol, <a class="code" href="structCoord__t.html" title="Structure to hold file coordinates.">Coord_t</a>* aLoc );
<a name="l00109"></a><a class="code" href="classCModule.html#10c299b79ee2842c0050b2ed8e2faa75">00109</a>         <span class="keywordtype">void</span>    <a class="code" href="classCModule.html#96625e08b8b05c2d0670858d61267243" title="Get inline parameter attribute.">InlineParamDecls</a>( <span class="keywordtype">int</span> v ) { inlineParamDecls = v; }
<a name="l00114"></a><a class="code" href="classCModule.html#96625e08b8b05c2d0670858d61267243">00114</a>         <span class="keywordtype">int</span>     <a class="code" href="classCModule.html#96625e08b8b05c2d0670858d61267243" title="Get inline parameter attribute.">InlineParamDecls</a>() { <span class="keywordflow">return</span> inlineParamDecls; }
<a name="l00119"></a><a class="code" href="classCModule.html#e94ef9d221acb03dc3348401c292d536">00119</a>         <span class="keywordtype">void</span>    <a class="code" href="classCModule.html#db0eec0dec4fcf818dff169a77cdc95b" title="Get inline port attribute.">InlinePortDecls</a>( <span class="keywordtype">int</span> v ) { inlinePortDecls = v; }
<a name="l00124"></a><a class="code" href="classCModule.html#db0eec0dec4fcf818dff169a77cdc95b">00124</a>         <span class="keywordtype">int</span>     <a class="code" href="classCModule.html#db0eec0dec4fcf818dff169a77cdc95b" title="Get inline port attribute.">InlinePortDecls</a>() { <span class="keywordflow">return</span> inlinePortDecls; }
<a name="l00130"></a><a class="code" href="classCModule.html#c28e9596c52c8912747c4e0136a1bb8c">00130</a>         <span class="keywordtype">void</span>    <a class="code" href="classCModule.html#f8cb37b9f567164e59f048c36f436a1a" title="Get directly defined attribute.">ModuleDirectlyDefined</a>( <span class="keywordtype">int</span> v ) { moduleDirectlyDefined = v; }
<a name="l00136"></a><a class="code" href="classCModule.html#f8cb37b9f567164e59f048c36f436a1a">00136</a>         <span class="keywordtype">int</span>     <a class="code" href="classCModule.html#f8cb37b9f567164e59f048c36f436a1a" title="Get directly defined attribute.">ModuleDirectlyDefined</a>() { <span class="keywordflow">return</span> moduleDirectlyDefined; }
<a name="l00141"></a><a class="code" href="classCModule.html#a5c510127a06ce61df8aec7b490c12a7">00141</a>         <span class="keywordtype">int</span>     <a class="code" href="classCModule.html#a5c510127a06ce61df8aec7b490c12a7" title="Get defined attribute.">IsDefined</a>( <span class="keywordtype">void</span> ) { <span class="keywordflow">return</span> defined; }
<a name="l00146"></a><a class="code" href="classCModule.html#06c2f01077e2a783e6862d0f7f70568f">00146</a>         <span class="keywordtype">void</span>    <a class="code" href="classCModule.html#06c2f01077e2a783e6862d0f7f70568f" title="Set defined attribute.">SetDefined</a>( <span class="keywordtype">int</span> flag ) { defined = flag; }
<a name="l00152"></a><a class="code" href="classCModule.html#a43a60a4d35719cfa3723946ac307459">00152</a>         <span class="keywordtype">void</span>    <a class="code" href="classCModule.html#766e253ff55a13039dd4ca131d6b98ec" title="Get included attribute.">ModuleIncluded</a>( <span class="keywordtype">int</span> v ) { moduleIncluded = v; }
<a name="l00158"></a><a class="code" href="classCModule.html#766e253ff55a13039dd4ca131d6b98ec">00158</a>         <span class="keywordtype">int</span>     <a class="code" href="classCModule.html#766e253ff55a13039dd4ca131d6b98ec" title="Get included attribute.">ModuleIncluded</a>() { <span class="keywordflow">return</span> moduleIncluded; }
<a name="l00163"></a>00163         <span class="keywordtype">int</span>     <a class="code" href="classCModule.html#b1aaac1d99db71e8a765a2ab6665cb25" title="Get macro module attribute.">IsMacroModule</a>( <span class="keywordtype">void</span> );
<a name="l00168"></a>00168         <span class="keywordtype">void</span>    <a class="code" href="classCModule.html#4c0e6eb8e31e7d933c7f2d8fd04c3fa2" title="Set macro module attribute.">SetMacroModule</a>( <span class="keywordtype">int</span> flag );
<a name="l00173"></a>00173         <span class="keywordtype">int</span>     <a class="code" href="classCModule.html#9571614765e5de24a3d4e903008a137d" title="Get primitive attribute.">IsPrimitive</a>( <span class="keywordtype">void</span> );
<a name="l00178"></a>00178         <span class="keywordtype">void</span>    <a class="code" href="classCModule.html#53d6bab80349aa7c298d0ea04d818443" title="Set primitive attribute.">SetPrimitive</a>( <span class="keywordtype">int</span> flag );
<a name="l00183"></a>00183         <span class="keyword">virtual</span> <span class="keywordtype">void</span> <a class="code" href="classCModule.html#d9772cf765101312e8d3424b2540909f" title="Dump macro info to file descriptor.">Dump</a>( FILE* f );
<a name="l00188"></a>00188         <span class="keywordtype">void</span>    <a class="code" href="classCModule.html#8fd417986e8ae29f5ad5517f9949f211" title="Set module port list.">SetPorts</a>( <a class="code" href="classCNode.html" title="Primary data structure representing parse tree nodes.">CNode</a>* ports );
<a name="l00193"></a><a class="code" href="classCModule.html#87715f2cb2102cdbdaca173ad9f85c5d">00193</a>         <a class="code" href="classCNode.html" title="Primary data structure representing parse tree nodes.">CNode</a>*  <a class="code" href="classCModule.html#87715f2cb2102cdbdaca173ad9f85c5d" title="Get modules port list.">GetPorts</a>() { <span class="keywordflow">return</span> ports; }
<a name="l00198"></a>00198         <span class="keyword">virtual</span> vector&lt;CFunction*&gt;* <a class="code" href="classCModule.html#3bb4ad507b5b0d1826fa12599fd4de71" title="Get list of functions/tasks.">GetFunctionList</a>();
<a name="l00203"></a>00203         <span class="keyword">virtual</span> vector&lt;CInstance*&gt;* <a class="code" href="classCModule.html#d328a2dd9fd177fb01a921284e5c0f99" title="Get list if module instances.">GetInstanceList</a>();
<a name="l00208"></a>00208         <span class="keyword">virtual</span> vector&lt;CPort*&gt;*     <a class="code" href="classCModule.html#3b503522a76514ab4f1209d9c3f96632" title="Get list if module ports.">GetPortList</a>();
<a name="l00213"></a>00213         <span class="keyword">virtual</span> vector&lt;CPortDir*&gt;*  <a class="code" href="classCModule.html#9756cb5884b9dfee5e2ba9593372f70c" title="Get list if module ports declarations.">GetPortDirList</a>();
<a name="l00219"></a>00219         <a class="code" href="classCDecl.html" title="Base class for describing declaration objects.">CDecl</a>*  <a class="code" href="classCModule.html#953bcd7d3e54e8cc594b250dcfcd0fcd" title="Find declaration in modules scope for given symbol.">FindDecl</a>( <a class="code" href="classCSymbol.html" title="Holder for character strings.">CSymbol</a>* sym );
<a name="l00220"></a>00220 
<a name="l00225"></a><a class="code" href="classCModule.html#2c1510a0ea5271f2b36a704bf0c8c3f1">00225</a>         <span class="keywordtype">int</span>     <a class="code" href="classCModule.html#2c1510a0ea5271f2b36a704bf0c8c3f1" title="Get cell attribute.">Cell</a>() { <span class="keywordflow">return</span> cell; }
<a name="l00230"></a><a class="code" href="classCModule.html#e67ff4f7ce1aa9895ebdaa73d96c9997">00230</a>         <span class="keywordtype">void</span>    <a class="code" href="classCModule.html#2c1510a0ea5271f2b36a704bf0c8c3f1" title="Get cell attribute.">Cell</a>( <span class="keywordtype">int</span> v ) { cell = v; }
<a name="l00235"></a><a class="code" href="classCModule.html#8520bf46a70650df3dce574e92fc78c9">00235</a>         <span class="keywordtype">int</span>     <a class="code" href="classCModule.html#8520bf46a70650df3dce574e92fc78c9" title="Get protect attribute.">Protect</a>() { <span class="keywordflow">return</span> protect; }
<a name="l00240"></a><a class="code" href="classCModule.html#7ec50ed8a9cf9cc7db344a408888556f">00240</a>         <span class="keywordtype">void</span>    <a class="code" href="classCModule.html#8520bf46a70650df3dce574e92fc78c9" title="Get protect attribute.">Protect</a>( <span class="keywordtype">int</span> v ) { protect = v; }
<a name="l00245"></a><a class="code" href="classCModule.html#20a19aea95f586ceddcd933b9ac5ce40">00245</a>         <span class="keywordtype">int</span>     <a class="code" href="classCModule.html#20a19aea95f586ceddcd933b9ac5ce40" title="Get timescale precision.">Precision</a>() { <span class="keywordflow">return</span> precision; }
<a name="l00250"></a><a class="code" href="classCModule.html#8b74f8a2d2291e9e7f2c24c77053eee0">00250</a>         <span class="keywordtype">void</span>    <a class="code" href="classCModule.html#20a19aea95f586ceddcd933b9ac5ce40" title="Get timescale precision.">Precision</a>( <span class="keywordtype">int</span> v ) { precision = v; hasTimescale = TRUE; }
<a name="l00255"></a><a class="code" href="classCModule.html#deafec89196bc81f54dac215a82fc300">00255</a>         <span class="keywordtype">int</span>     <a class="code" href="classCModule.html#deafec89196bc81f54dac215a82fc300" title="Get timescale unit.">Unit</a>() { <span class="keywordflow">return</span> unit; }
<a name="l00260"></a><a class="code" href="classCModule.html#5c6aba69cfedb45bca741ed4dfe420ea">00260</a>         <span class="keywordtype">void</span>    <a class="code" href="classCModule.html#deafec89196bc81f54dac215a82fc300" title="Get timescale unit.">Unit</a>( <span class="keywordtype">int</span> v ) { unit = v; hasTimescale = TRUE; }
<a name="l00265"></a><a class="code" href="classCModule.html#c5da7251244bbefefc7912887ef777e0">00265</a>         <span class="keywordtype">int</span>     <a class="code" href="classCModule.html#c5da7251244bbefefc7912887ef777e0" title="Get timescale present attribute.">HasTimescale</a>() { <span class="keywordflow">return</span> hasTimescale; }
<a name="l00271"></a><a class="code" href="classCModule.html#129aca2a29af1e5bfb2e178ed9b1f2ab">00271</a>         <span class="keywordtype">int</span>     <a class="code" href="classCModule.html#129aca2a29af1e5bfb2e178ed9b1f2ab" title="Get default net type attribute.">DefaultNetType</a>() { <span class="keywordflow">return</span> defaultNetType; }
<a name="l00277"></a><a class="code" href="classCModule.html#f39be523ceae3ab40f3a8eb902f8eb1a">00277</a>         <span class="keywordtype">void</span>    <a class="code" href="classCModule.html#129aca2a29af1e5bfb2e178ed9b1f2ab" title="Get default net type attribute.">DefaultNetType</a>( <span class="keywordtype">int</span> v ) { defaultNetType = v; }
<a name="l00282"></a><a class="code" href="classCModule.html#17df9c30a787974afff2f392bb91d104">00282</a>         <span class="keywordtype">int</span>     <a class="code" href="classCModule.html#17df9c30a787974afff2f392bb91d104" title="Get unconnected drive attribute.">UnconnectedDrive</a>() { <span class="keywordflow">return</span> unconnectedDrive; }
<a name="l00287"></a><a class="code" href="classCModule.html#19e1d868928ba8559ecfaf241fb745a4">00287</a>         <span class="keywordtype">void</span>    <a class="code" href="classCModule.html#17df9c30a787974afff2f392bb91d104" title="Get unconnected drive attribute.">UnconnectedDrive</a>( <span class="keywordtype">int</span> v ) { unconnectedDrive = v; }
<a name="l00296"></a>00296         <span class="keyword">virtual</span> <span class="keywordtype">void</span> <a class="code" href="classCModule.html#73dc6b0768dc430e44ac3392373436b2">Add</a>( <a class="code" href="classCFunction.html" title="Declaration object for functions and tasks.">CFunction</a>* function );
<a name="l00305"></a>00305         <span class="keyword">virtual</span> <span class="keywordtype">void</span> <a class="code" href="classCModule.html#73dc6b0768dc430e44ac3392373436b2">Add</a>( <a class="code" href="classCInstance.html" title="Declaration object for module and gate instances.">CInstance</a>* instance );
<a name="l00314"></a>00314         <span class="keyword">virtual</span> <span class="keywordtype">void</span> <a class="code" href="classCModule.html#73dc6b0768dc430e44ac3392373436b2">Add</a>( <a class="code" href="classCFref.html" title="Forward reference declaration.">CFref</a>* fref  );
<a name="l00323"></a><a class="code" href="classCModule.html#d282a8ac4c1c76b12cfc266eb5fde77b">00323</a>         <span class="keyword">virtual</span> <span class="keywordtype">void</span> <a class="code" href="classCModule.html#73dc6b0768dc430e44ac3392373436b2">Add</a>( <a class="code" href="classCReal.html" title="Declaration object for real variables.">CReal</a>* real ) { <a class="code" href="classCBlock.html#28d0319a58da46b4255f3534f895a211">CBlock::Add</a>(real); }
<a name="l00332"></a><a class="code" href="classCModule.html#0436831767ccb2f3464691e8b4b78c4d">00332</a>         <span class="keyword">virtual</span> <span class="keywordtype">void</span> <a class="code" href="classCModule.html#73dc6b0768dc430e44ac3392373436b2">Add</a>( <a class="code" href="classCReg.html" title="Declaration object for registers.">CReg</a>* reg ) { <a class="code" href="classCBlock.html#28d0319a58da46b4255f3534f895a211">CBlock::Add</a>(reg); }
<a name="l00341"></a><a class="code" href="classCModule.html#bafc6ca844d7be7cd9d653384b123779">00341</a>         <span class="keyword">virtual</span> <span class="keywordtype">void</span> <a class="code" href="classCModule.html#73dc6b0768dc430e44ac3392373436b2">Add</a>( <a class="code" href="classCNet.html" title="Declaration object for net types:eWIREeTRIeWANDeTRIANDeWOReTRIOReTRI1eTRI0eTRIREGeSUPPLY0eSUPPLY1...">CNet</a>* net ) { <a class="code" href="classCBlock.html#28d0319a58da46b4255f3534f895a211">CBlock::Add</a>(net); }
<a name="l00350"></a>00350         <span class="keyword">virtual</span> <span class="keywordtype">void</span> <a class="code" href="classCModule.html#73dc6b0768dc430e44ac3392373436b2">Add</a>( <a class="code" href="classCNode.html" title="Primary data structure representing parse tree nodes.">CNode</a>* n );
<a name="l00359"></a><a class="code" href="classCModule.html#fa8135c51cd927c45fec3469333362f4">00359</a>         <span class="keyword">virtual</span> <span class="keywordtype">void</span> <a class="code" href="classCModule.html#73dc6b0768dc430e44ac3392373436b2">Add</a>( <a class="code" href="classCParam.html" title="Declaration object for parameters.">CParam</a>* param ) { <a class="code" href="classCBlock.html#28d0319a58da46b4255f3534f895a211">CBlock::Add</a>(param); }
<a name="l00360"></a>00360 
<a name="l00365"></a>00365         <span class="keywordtype">void</span>    <a class="code" href="classCDecl.html#a5ecfff625616b81a4de3fce4e6d0cb3">PreVisit1</a>( <span class="keywordtype">int</span> (*func)(<a class="code" href="classCNode.html" title="Primary data structure representing parse tree nodes.">CNode</a>*,<span class="keywordtype">void</span>*), <span class="keywordtype">void</span>* data );
<a name="l00366"></a>00366         <span class="keywordtype">void</span>    <a class="code" href="classCDecl.html#e71ba72ce0fc7e32db9cd38d6f738a44">PostVisit1</a>( <span class="keywordtype">void</span> (*func)(<a class="code" href="classCNode.html" title="Primary data structure representing parse tree nodes.">CNode</a>*, <span class="keywordtype">void</span>*), <span class="keywordtype">void</span>* data );
<a name="l00367"></a>00367         <span class="keywordtype">void</span>    <a class="code" href="classCDecl.html#e6e0d93143738a4d226cca8314a92cfe">PostSubVisit1</a>( <a class="code" href="classCNode.html" title="Primary data structure representing parse tree nodes.">CNode</a>* (*func)(<a class="code" href="classCNode.html" title="Primary data structure representing parse tree nodes.">CNode</a>*, <span class="keywordtype">void</span>*), <span class="keywordtype">void</span>* data );
<a name="l00368"></a>00368         <span class="keywordtype">void</span>    FixupPorts( <span class="keywordtype">void</span> );
<a name="l00369"></a>00369         <span class="keywordtype">void</span>    SetFrefSymtab( <a class="code" href="classCSymtab.html" title="Aux class used to create symbol table scoping.">CSymtab&lt;CDecl&gt;</a>&amp; <a class="code" href="classCBlock.html#e3e5968ce31846451f6e2babcdaa868b">symtab</a> );
<a name="l00370"></a>00370         <span class="keywordtype">void</span>    SetPortSymtab( <a class="code" href="classCSymtab.html" title="Aux class used to create symbol table scoping.">CSymtab&lt;CDecl&gt;</a>&amp; <a class="code" href="classCBlock.html#e3e5968ce31846451f6e2babcdaa868b">symtab</a> );
<a name="l00371"></a>00371         <a class="code" href="classCSymtab.html" title="Aux class used to create symbol table scoping.">CSymtab&lt;CDecl&gt;</a>&amp; GetPortSymtab() { <span class="keywordflow">return</span> portSymtab; }
<a name="l00372"></a>00372 <span class="keyword">private</span>:
<a name="l00373"></a>00373         <span class="keyword">virtual</span> <span class="keywordtype">void</span> CodeListChanged() { portDirListValid = FALSE; }
<a name="l00377"></a>00377 };
<a name="l00378"></a>00378 
<a name="l00379"></a>00379 <span class="preprocessor">#endif // CMODULE_HPP</span>
</pre></div></div>
<hr size="1"><address style="text-align: right;"><small>Generated on Wed Oct 21 18:05:33 2009 for vrq by&nbsp;
<a href="http://www.doxygen.org/index.html">
<img src="doxygen.png" alt="doxygen" align="middle" border="0"></a> 1.5.5 </small></address>
</body>
</html>