Sophie

Sophie

distrib > Mageia > 5 > i586 > by-pkgid > eb4b034508697cc17e7c9cfffe7f772b > files > 225

uhd-doc-3.7.2-3.mga5.noarch.rpm

<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
<html xmlns="http://www.w3.org/1999/xhtml">
<head>
<meta http-equiv="Content-Type" content="text/xhtml;charset=UTF-8"/>
<meta http-equiv="X-UA-Compatible" content="IE=9"/>
<meta name="generator" content="Doxygen 1.8.8"/>
<title>UHD: Class Members - Enumerator</title>
<link href="tabs.css" rel="stylesheet" type="text/css"/>
<script type="text/javascript" src="jquery.js"></script>
<script type="text/javascript" src="dynsections.js"></script>
<link href="search/search.css" rel="stylesheet" type="text/css"/>
<script type="text/javascript" src="search/search.js"></script>
<script type="text/javascript">
  $(document).ready(function() { searchBox.OnSelectItem(0); });
</script>
<link href="doxygen.css" rel="stylesheet" type="text/css" />
</head>
<body>
<div id="top"><!-- do not remove this div, it is closed by doxygen! -->
<div id="titlearea">
<table cellspacing="0" cellpadding="0">
 <tbody>
 <tr style="height: 56px;">
  <td style="padding-left: 0.5em;">
   <div id="projectname">UHD
   &#160;<span id="projectnumber">003.007.002-0-unknown</span>
   </div>
  </td>
 </tr>
 </tbody>
</table>
</div>
<!-- end header part -->
<!-- Generated by Doxygen 1.8.8 -->
<script type="text/javascript">
var searchBox = new SearchBox("searchBox", "search",false,'Search');
</script>
  <div id="navrow1" class="tabs">
    <ul class="tablist">
      <li><a href="index.html"><span>Main&#160;Page</span></a></li>
      <li><a href="namespaces.html"><span>Namespaces</span></a></li>
      <li class="current"><a href="annotated.html"><span>Classes</span></a></li>
      <li><a href="files.html"><span>Files</span></a></li>
      <li>
        <div id="MSearchBox" class="MSearchBoxInactive">
        <span class="left">
          <img id="MSearchSelect" src="search/mag_sel.png"
               onmouseover="return searchBox.OnSearchSelectShow()"
               onmouseout="return searchBox.OnSearchSelectHide()"
               alt=""/>
          <input type="text" id="MSearchField" value="Search" accesskey="S"
               onfocus="searchBox.OnSearchFieldFocus(true)" 
               onblur="searchBox.OnSearchFieldFocus(false)" 
               onkeyup="searchBox.OnSearchFieldChange(event)"/>
          </span><span class="right">
            <a id="MSearchClose" href="javascript:searchBox.CloseResultsWindow()"><img id="MSearchCloseImg" border="0" src="search/close.png" alt=""/></a>
          </span>
        </div>
      </li>
    </ul>
  </div>
  <div id="navrow2" class="tabs2">
    <ul class="tablist">
      <li><a href="annotated.html"><span>Class&#160;List</span></a></li>
      <li><a href="hierarchy.html"><span>Class&#160;Hierarchy</span></a></li>
      <li class="current"><a href="functions.html"><span>Class&#160;Members</span></a></li>
    </ul>
  </div>
  <div id="navrow3" class="tabs2">
    <ul class="tablist">
      <li><a href="functions.html"><span>All</span></a></li>
      <li><a href="functions_func.html"><span>Functions</span></a></li>
      <li><a href="functions_vars.html"><span>Variables</span></a></li>
      <li><a href="functions_type.html"><span>Typedefs</span></a></li>
      <li><a href="functions_enum.html"><span>Enumerations</span></a></li>
      <li class="current"><a href="functions_eval.html"><span>Enumerator</span></a></li>
    </ul>
  </div>
  <div id="navrow4" class="tabs3">
    <ul class="tablist">
      <li><a href="#index_a"><span>a</span></a></li>
      <li><a href="#index_b"><span>b</span></a></li>
      <li><a href="#index_c"><span>c</span></a></li>
      <li><a href="#index_e"><span>e</span></a></li>
      <li><a href="#index_i"><span>i</span></a></li>
      <li><a href="#index_l"><span>l</span></a></li>
      <li><a href="#index_p"><span>p</span></a></li>
      <li><a href="#index_r"><span>r</span></a></li>
      <li><a href="#index_s"><span>s</span></a></li>
      <li class="current"><a href="#index_u"><span>u</span></a></li>
    </ul>
  </div>
</div><!-- top -->
<!-- window showing the filter options -->
<div id="MSearchSelectWindow"
     onmouseover="return searchBox.OnSearchSelectShow()"
     onmouseout="return searchBox.OnSearchSelectHide()"
     onkeydown="return searchBox.OnSearchSelectKey(event)">
<a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(0)"><span class="SelectionMark">&#160;</span>All</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(1)"><span class="SelectionMark">&#160;</span>Classes</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(2)"><span class="SelectionMark">&#160;</span>Namespaces</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(3)"><span class="SelectionMark">&#160;</span>Files</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(4)"><span class="SelectionMark">&#160;</span>Functions</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(5)"><span class="SelectionMark">&#160;</span>Variables</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(6)"><span class="SelectionMark">&#160;</span>Typedefs</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(7)"><span class="SelectionMark">&#160;</span>Enumerations</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(8)"><span class="SelectionMark">&#160;</span>Enumerator</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(9)"><span class="SelectionMark">&#160;</span>Macros</a></div>

<!-- iframe showing the search results (closed by default) -->
<div id="MSearchResultsWindow">
<iframe src="javascript:void(0)" frameborder="0" 
        name="MSearchResults" id="MSearchResults">
</iframe>
</div>

<div class="contents">
&#160;

<h3><a class="anchor" id="index_a"></a>- a -</h3><ul>
<li>ATR_REG_FULL_DUPLEX
: <a class="el" href="classuhd_1_1usrp_1_1dboard__iface.html#a1a7f6720af4d924febbab9044c7f6d1bad580e54a6a37c5f40a1ef18bd1984db5">uhd::usrp::dboard_iface</a>
</li>
<li>ATR_REG_IDLE
: <a class="el" href="classuhd_1_1usrp_1_1dboard__iface.html#a1a7f6720af4d924febbab9044c7f6d1ba43df25743a67d331b5b1ca3bfba8a86c">uhd::usrp::dboard_iface</a>
</li>
<li>ATR_REG_RX_ONLY
: <a class="el" href="classuhd_1_1usrp_1_1dboard__iface.html#a1a7f6720af4d924febbab9044c7f6d1baaf27b3663c279905957f1567060d5aa6">uhd::usrp::dboard_iface</a>
</li>
<li>ATR_REG_TX_ONLY
: <a class="el" href="classuhd_1_1usrp_1_1dboard__iface.html#a1a7f6720af4d924febbab9044c7f6d1ba0e512f5f9f069ec3ffe15f2a991589b2">uhd::usrp::dboard_iface</a>
</li>
<li>AUX_ADC_A
: <a class="el" href="classuhd_1_1usrp_1_1dboard__iface.html#a2a7475c974d1e454311ab88f92b41fa7ae68da619c198fe8ad31e3e9f8fdb8492">uhd::usrp::dboard_iface</a>
</li>
<li>AUX_ADC_B
: <a class="el" href="classuhd_1_1usrp_1_1dboard__iface.html#a2a7475c974d1e454311ab88f92b41fa7afc3a24356e776efa159d95469142096e">uhd::usrp::dboard_iface</a>
</li>
<li>AUX_DAC_A
: <a class="el" href="classuhd_1_1usrp_1_1dboard__iface.html#af2cd3859feb52b75d8a0ab2a13da8720a4f21321dffddc75eb339669280d09304">uhd::usrp::dboard_iface</a>
</li>
<li>AUX_DAC_B
: <a class="el" href="classuhd_1_1usrp_1_1dboard__iface.html#af2cd3859feb52b75d8a0ab2a13da8720a5fe9e65851b0bd66268b5c8b5d879b12">uhd::usrp::dboard_iface</a>
</li>
<li>AUX_DAC_C
: <a class="el" href="classuhd_1_1usrp_1_1dboard__iface.html#af2cd3859feb52b75d8a0ab2a13da8720aa4f963494141c4eada1899623cc054cd">uhd::usrp::dboard_iface</a>
</li>
<li>AUX_DAC_D
: <a class="el" href="classuhd_1_1usrp_1_1dboard__iface.html#af2cd3859feb52b75d8a0ab2a13da8720a0ccc4235e51dfe3b3617a570842f3753">uhd::usrp::dboard_iface</a>
</li>
</ul>


<h3><a class="anchor" id="index_b"></a>- b -</h3><ul>
<li>BO_BIG_ENDIAN
: <a class="el" href="structuhd_1_1otw__type__t.html#a3e813d310c7c73335620010ee9648983a5c9d721ec8edfb899b1704cd70904be4">uhd::otw_type_t</a>
</li>
<li>BO_LITTLE_ENDIAN
: <a class="el" href="structuhd_1_1otw__type__t.html#a3e813d310c7c73335620010ee9648983a0c2f7178dbea0ef02c45bae7a1d8d646">uhd::otw_type_t</a>
</li>
<li>BO_NATIVE
: <a class="el" href="structuhd_1_1otw__type__t.html#a3e813d310c7c73335620010ee9648983af2dc214b6aef4ba3caa49b18041867b6">uhd::otw_type_t</a>
</li>
<li>BO_NOT_APPLICABLE
: <a class="el" href="structuhd_1_1otw__type__t.html#a3e813d310c7c73335620010ee9648983aa26e4bff795c8269b2db19aa887c2b4c">uhd::otw_type_t</a>
</li>
<li>BOOLEAN
: <a class="el" href="structuhd_1_1sensor__value__t.html#a1f6bf20f81b094c002bf06e3903a37e1a67990358f836e48528775f518d975469">uhd::sensor_value_t</a>
</li>
</ul>


<h3><a class="anchor" id="index_c"></a>- c -</h3><ul>
<li>COMPLEX_FLOAT32
: <a class="el" href="classuhd_1_1io__type__t.html#acbe526dddf5132355528c41e58c85dfaa15842f33be5c98bdf8f2da248ca2d107">uhd::io_type_t</a>
</li>
<li>COMPLEX_FLOAT64
: <a class="el" href="classuhd_1_1io__type__t.html#acbe526dddf5132355528c41e58c85dfaa1a4179b503c7976b4475133b60c0f205">uhd::io_type_t</a>
</li>
<li>COMPLEX_INT16
: <a class="el" href="classuhd_1_1io__type__t.html#acbe526dddf5132355528c41e58c85dfaab5530e2db358a78c5c2058ba28065ca1">uhd::io_type_t</a>
</li>
<li>COMPLEX_INT8
: <a class="el" href="classuhd_1_1io__type__t.html#acbe526dddf5132355528c41e58c85dfaa6543ab7eeaa5150f71c396cd326a3426">uhd::io_type_t</a>
</li>
<li>CUSTOM_TYPE
: <a class="el" href="classuhd_1_1io__type__t.html#acbe526dddf5132355528c41e58c85dfaa099404e7db051932a5094c3e16b46167">uhd::io_type_t</a>
</li>
</ul>


<h3><a class="anchor" id="index_e"></a>- e -</h3><ul>
<li>EDGE_FALL
: <a class="el" href="structuhd_1_1spi__config__t.html#aa5a225d2054194eab4b6d1334ab6271faeb8c869348ab83e76ecd8dfcd75cdc7d">uhd::spi_config_t</a>
</li>
<li>EDGE_RISE
: <a class="el" href="structuhd_1_1spi__config__t.html#aa5a225d2054194eab4b6d1334ab6271fae6a45a9ea0b73d912d3caa041a47e93d">uhd::spi_config_t</a>
</li>
<li>ERROR_CODE_ALIGNMENT
: <a class="el" href="structuhd_1_1rx__metadata__t.html#ae3a42ad2414c4f44119157693fe27639a1b2dd7d72f764f3071e362fe566e0011">uhd::rx_metadata_t</a>
</li>
<li>ERROR_CODE_BAD_PACKET
: <a class="el" href="structuhd_1_1rx__metadata__t.html#ae3a42ad2414c4f44119157693fe27639a56ed4063c6f6e1e23ddea5cadf2b807c">uhd::rx_metadata_t</a>
</li>
<li>ERROR_CODE_BROKEN_CHAIN
: <a class="el" href="structuhd_1_1rx__metadata__t.html#ae3a42ad2414c4f44119157693fe27639a1a0c6c210cac5dd454ff6eda10524969">uhd::rx_metadata_t</a>
</li>
<li>ERROR_CODE_LATE_COMMAND
: <a class="el" href="structuhd_1_1rx__metadata__t.html#ae3a42ad2414c4f44119157693fe27639a117bc72e437060564a5f03b8a9856e88">uhd::rx_metadata_t</a>
</li>
<li>ERROR_CODE_NONE
: <a class="el" href="structuhd_1_1rx__metadata__t.html#ae3a42ad2414c4f44119157693fe27639a30c16ffa6113fbbaca9246fb7e1e9175">uhd::rx_metadata_t</a>
</li>
<li>ERROR_CODE_OVERFLOW
: <a class="el" href="structuhd_1_1rx__metadata__t.html#ae3a42ad2414c4f44119157693fe27639af52d18388ea782e474b134bb3bace485">uhd::rx_metadata_t</a>
</li>
<li>ERROR_CODE_TIMEOUT
: <a class="el" href="structuhd_1_1rx__metadata__t.html#ae3a42ad2414c4f44119157693fe27639a64632eaf9b5ebdabe94f623bb4017c9b">uhd::rx_metadata_t</a>
</li>
<li>EVENT_CODE_BURST_ACK
: <a class="el" href="structuhd_1_1async__metadata__t.html#a2be1b5c0351746c78fa3bcb74a8ff5daa014377f516b86f918b453abac00ac4f5">uhd::async_metadata_t</a>
</li>
<li>EVENT_CODE_SEQ_ERROR
: <a class="el" href="structuhd_1_1async__metadata__t.html#a2be1b5c0351746c78fa3bcb74a8ff5daa56db266e2e6035f5b888d5b3ee43258f">uhd::async_metadata_t</a>
</li>
<li>EVENT_CODE_SEQ_ERROR_IN_BURST
: <a class="el" href="structuhd_1_1async__metadata__t.html#a2be1b5c0351746c78fa3bcb74a8ff5daaeffb1251de478df334ec04221e08eb88">uhd::async_metadata_t</a>
</li>
<li>EVENT_CODE_TIME_ERROR
: <a class="el" href="structuhd_1_1async__metadata__t.html#a2be1b5c0351746c78fa3bcb74a8ff5daa7bfefebda6f394356f08f067d2752357">uhd::async_metadata_t</a>
</li>
<li>EVENT_CODE_UNDERFLOW
: <a class="el" href="structuhd_1_1async__metadata__t.html#a2be1b5c0351746c78fa3bcb74a8ff5daa7834fe0573502f4c5f3b6c0588e52ff3">uhd::async_metadata_t</a>
</li>
<li>EVENT_CODE_UNDERFLOW_IN_PACKET
: <a class="el" href="structuhd_1_1async__metadata__t.html#a2be1b5c0351746c78fa3bcb74a8ff5daa0f682672d74109515266ec07e70c0ebc">uhd::async_metadata_t</a>
</li>
<li>EVENT_CODE_USER_PAYLOAD
: <a class="el" href="structuhd_1_1async__metadata__t.html#a2be1b5c0351746c78fa3bcb74a8ff5daac3d8dc513bf9784e71e310da5ec16ae1">uhd::async_metadata_t</a>
</li>
</ul>


<h3><a class="anchor" id="index_i"></a>- i -</h3><ul>
<li>INTEGER
: <a class="el" href="structuhd_1_1sensor__value__t.html#a1f6bf20f81b094c002bf06e3903a37e1a4a2aba4eb1ecd810969cc49619d56dd6">uhd::sensor_value_t</a>
</li>
</ul>


<h3><a class="anchor" id="index_l"></a>- l -</h3><ul>
<li>LINK_TYPE_CHDR
: <a class="el" href="structuhd_1_1transport_1_1vrt_1_1if__packet__info__t.html#a0582bd0a23b0f359185644ab6f431755ac2de300441c2037edd176ef83f429261">uhd::transport::vrt::if_packet_info_t</a>
</li>
<li>LINK_TYPE_NONE
: <a class="el" href="structuhd_1_1transport_1_1vrt_1_1if__packet__info__t.html#a0582bd0a23b0f359185644ab6f431755ad4dbc799ee7f79403a992caf03320498">uhd::transport::vrt::if_packet_info_t</a>
</li>
<li>LINK_TYPE_VRLP
: <a class="el" href="structuhd_1_1transport_1_1vrt_1_1if__packet__info__t.html#a0582bd0a23b0f359185644ab6f431755a7e714ee818dbc4440ce03f66f12a9e23">uhd::transport::vrt::if_packet_info_t</a>
</li>
</ul>


<h3><a class="anchor" id="index_p"></a>- p -</h3><ul>
<li>PACKET_TYPE_CONTEXT
: <a class="el" href="structuhd_1_1transport_1_1vrt_1_1if__packet__info__t.html#a883c99156bcf6eaf497da93cfba91b7da14297e47ba63c41a2665993263d93e4d">uhd::transport::vrt::if_packet_info_t</a>
</li>
<li>PACKET_TYPE_DATA
: <a class="el" href="structuhd_1_1transport_1_1vrt_1_1if__packet__info__t.html#a883c99156bcf6eaf497da93cfba91b7dabbe3763040597c11af66d1d415df8529">uhd::transport::vrt::if_packet_info_t</a>
</li>
<li>PACKET_TYPE_IF_EXT
: <a class="el" href="structuhd_1_1transport_1_1vrt_1_1if__packet__info__t.html#a883c99156bcf6eaf497da93cfba91b7daa8ec1539e47d08d1be2fd53b3a2fabfb">uhd::transport::vrt::if_packet_info_t</a>
</li>
<li>POLICY_AUTO
: <a class="el" href="structuhd_1_1tune__request__t.html#a545f60f6c214cdf7f9104fa6c53c04afa3a5de0726180cc1a7e87e3cc7e4b3f73">uhd::tune_request_t</a>
</li>
<li>POLICY_MANUAL
: <a class="el" href="structuhd_1_1tune__request__t.html#a545f60f6c214cdf7f9104fa6c53c04afa19cc42f4851365cde6b77a3f88c3dd63">uhd::tune_request_t</a>
</li>
<li>POLICY_NONE
: <a class="el" href="structuhd_1_1tune__request__t.html#a545f60f6c214cdf7f9104fa6c53c04afa280ea05bc1f917eca52e84c472817b15">uhd::tune_request_t</a>
</li>
<li>PPS_INT
: <a class="el" href="structuhd_1_1clock__config__t.html#ada8c944753b43d9e96f1483095f304a7a50b119aa73567aa4afb6f063f5defde8">uhd::clock_config_t</a>
</li>
<li>PPS_MIMO
: <a class="el" href="structuhd_1_1clock__config__t.html#ada8c944753b43d9e96f1483095f304a7afd90c1346a698370a35e8467606c95f1">uhd::clock_config_t</a>
</li>
<li>PPS_NEG
: <a class="el" href="structuhd_1_1clock__config__t.html#aaaebc21578470764e3a1a5dda370ad41ae7116a104751fed3f0550bbe39ffe54b">uhd::clock_config_t</a>
</li>
<li>PPS_POS
: <a class="el" href="structuhd_1_1clock__config__t.html#aaaebc21578470764e3a1a5dda370ad41aeada90db008ac0f26bffc3d57cde241c">uhd::clock_config_t</a>
</li>
<li>PPS_SMA
: <a class="el" href="structuhd_1_1clock__config__t.html#ada8c944753b43d9e96f1483095f304a7af0024ec53e8af09abb6f03eba7bcc2e5">uhd::clock_config_t</a>
</li>
</ul>


<h3><a class="anchor" id="index_r"></a>- r -</h3><ul>
<li>REALNUM
: <a class="el" href="structuhd_1_1sensor__value__t.html#a1f6bf20f81b094c002bf06e3903a37e1a3229a783186316b52f65c25b778b2092">uhd::sensor_value_t</a>
</li>
<li>REF_AUTO
: <a class="el" href="structuhd_1_1clock__config__t.html#ae6775dddf416a20c462a723bc88f55d8a0cd1a6af945efe76261cfd65205d19ea">uhd::clock_config_t</a>
</li>
<li>REF_INT
: <a class="el" href="structuhd_1_1clock__config__t.html#ae6775dddf416a20c462a723bc88f55d8a9ad0997c6d416fecf103d9dda252c531">uhd::clock_config_t</a>
</li>
<li>REF_MIMO
: <a class="el" href="structuhd_1_1clock__config__t.html#ae6775dddf416a20c462a723bc88f55d8a29f14a026c34003ed410ef189d4b8a4e">uhd::clock_config_t</a>
</li>
<li>REF_SMA
: <a class="el" href="structuhd_1_1clock__config__t.html#ae6775dddf416a20c462a723bc88f55d8a03906cbc61ffb609f69c726241f0014d">uhd::clock_config_t</a>
</li>
</ul>


<h3><a class="anchor" id="index_s"></a>- s -</h3><ul>
<li>STREAM_MODE_NUM_SAMPS_AND_DONE
: <a class="el" href="structuhd_1_1stream__cmd__t.html#a4df1f2e22148b7e09ace0eca0dfbf904a0e293a4a7cab198a4f6cb0e196ca377d">uhd::stream_cmd_t</a>
</li>
<li>STREAM_MODE_NUM_SAMPS_AND_MORE
: <a class="el" href="structuhd_1_1stream__cmd__t.html#a4df1f2e22148b7e09ace0eca0dfbf904a1e32ed6ef38e60377d62495a6e7c51be">uhd::stream_cmd_t</a>
</li>
<li>STREAM_MODE_START_CONTINUOUS
: <a class="el" href="structuhd_1_1stream__cmd__t.html#a4df1f2e22148b7e09ace0eca0dfbf904a91fa979980d1a6de6bf861b8459ed5c3">uhd::stream_cmd_t</a>
</li>
<li>STREAM_MODE_STOP_CONTINUOUS
: <a class="el" href="structuhd_1_1stream__cmd__t.html#a4df1f2e22148b7e09ace0eca0dfbf904a2321e3ab62fd02772298e41e94a32f9f">uhd::stream_cmd_t</a>
</li>
<li>STRING
: <a class="el" href="structuhd_1_1sensor__value__t.html#a1f6bf20f81b094c002bf06e3903a37e1adbeee2b0ceac9a9f1aa4ae8ea7fdfc0a">uhd::sensor_value_t</a>
</li>
</ul>


<h3><a class="anchor" id="index_u"></a>- u -</h3><ul>
<li>UNIT_RX
: <a class="el" href="classuhd_1_1usrp_1_1dboard__iface.html#a90ca5745ab1db9145cd66cafc62f00d1a030fafad883127e265514bacabec97f8">uhd::usrp::dboard_iface</a>
</li>
<li>UNIT_TX
: <a class="el" href="classuhd_1_1usrp_1_1dboard__iface.html#a90ca5745ab1db9145cd66cafc62f00d1acc95c631c9e3e29487c332b8da8a52ec">uhd::usrp::dboard_iface</a>
</li>
</ul>
</div><!-- contents -->
<!-- start footer part -->
<hr class="footer"/><address class="footer"><small>
Generated on Wed Oct 15 2014 11:47:55 for UHD by &#160;<a href="http://www.doxygen.org/index.html">
<img class="footer" src="doxygen.png" alt="doxygen"/>
</a> 1.8.8
</small></address>
</body>
</html>