Sophie

Sophie

distrib > Mageia > 5 > i586 > by-pkgid > eb4b034508697cc17e7c9cfffe7f772b > files > 244

uhd-doc-3.7.2-3.mga5.noarch.rpm

<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
<html xmlns="http://www.w3.org/1999/xhtml">
<head>
<meta http-equiv="Content-Type" content="text/xhtml;charset=UTF-8"/>
<meta http-equiv="X-UA-Compatible" content="IE=9"/>
<meta name="generator" content="Doxygen 1.8.8"/>
<title>UHD: Class Members - Functions</title>
<link href="tabs.css" rel="stylesheet" type="text/css"/>
<script type="text/javascript" src="jquery.js"></script>
<script type="text/javascript" src="dynsections.js"></script>
<link href="search/search.css" rel="stylesheet" type="text/css"/>
<script type="text/javascript" src="search/search.js"></script>
<script type="text/javascript">
  $(document).ready(function() { searchBox.OnSelectItem(0); });
</script>
<link href="doxygen.css" rel="stylesheet" type="text/css" />
</head>
<body>
<div id="top"><!-- do not remove this div, it is closed by doxygen! -->
<div id="titlearea">
<table cellspacing="0" cellpadding="0">
 <tbody>
 <tr style="height: 56px;">
  <td style="padding-left: 0.5em;">
   <div id="projectname">UHD
   &#160;<span id="projectnumber">003.007.002-0-unknown</span>
   </div>
  </td>
 </tr>
 </tbody>
</table>
</div>
<!-- end header part -->
<!-- Generated by Doxygen 1.8.8 -->
<script type="text/javascript">
var searchBox = new SearchBox("searchBox", "search",false,'Search');
</script>
  <div id="navrow1" class="tabs">
    <ul class="tablist">
      <li><a href="index.html"><span>Main&#160;Page</span></a></li>
      <li><a href="namespaces.html"><span>Namespaces</span></a></li>
      <li class="current"><a href="annotated.html"><span>Classes</span></a></li>
      <li><a href="files.html"><span>Files</span></a></li>
      <li>
        <div id="MSearchBox" class="MSearchBoxInactive">
        <span class="left">
          <img id="MSearchSelect" src="search/mag_sel.png"
               onmouseover="return searchBox.OnSearchSelectShow()"
               onmouseout="return searchBox.OnSearchSelectHide()"
               alt=""/>
          <input type="text" id="MSearchField" value="Search" accesskey="S"
               onfocus="searchBox.OnSearchFieldFocus(true)" 
               onblur="searchBox.OnSearchFieldFocus(false)" 
               onkeyup="searchBox.OnSearchFieldChange(event)"/>
          </span><span class="right">
            <a id="MSearchClose" href="javascript:searchBox.CloseResultsWindow()"><img id="MSearchCloseImg" border="0" src="search/close.png" alt=""/></a>
          </span>
        </div>
      </li>
    </ul>
  </div>
  <div id="navrow2" class="tabs2">
    <ul class="tablist">
      <li><a href="annotated.html"><span>Class&#160;List</span></a></li>
      <li><a href="hierarchy.html"><span>Class&#160;Hierarchy</span></a></li>
      <li class="current"><a href="functions.html"><span>Class&#160;Members</span></a></li>
    </ul>
  </div>
  <div id="navrow3" class="tabs2">
    <ul class="tablist">
      <li><a href="functions.html"><span>All</span></a></li>
      <li class="current"><a href="functions_func.html"><span>Functions</span></a></li>
      <li><a href="functions_vars.html"><span>Variables</span></a></li>
      <li><a href="functions_type.html"><span>Typedefs</span></a></li>
      <li><a href="functions_enum.html"><span>Enumerations</span></a></li>
      <li><a href="functions_eval.html"><span>Enumerator</span></a></li>
    </ul>
  </div>
  <div id="navrow4" class="tabs3">
    <ul class="tablist">
      <li><a href="functions_func.html#index__"><span>_</span></a></li>
      <li><a href="functions_func_a.html#index_a"><span>a</span></a></li>
      <li><a href="functions_func_b.html#index_b"><span>b</span></a></li>
      <li><a href="functions_func_c.html#index_c"><span>c</span></a></li>
      <li><a href="functions_func_d.html#index_d"><span>d</span></a></li>
      <li><a href="functions_func_e.html#index_e"><span>e</span></a></li>
      <li><a href="functions_func_f.html#index_f"><span>f</span></a></li>
      <li><a href="functions_func_g.html#index_g"><span>g</span></a></li>
      <li><a href="functions_func_h.html#index_h"><span>h</span></a></li>
      <li><a href="functions_func_i.html#index_i"><span>i</span></a></li>
      <li><a href="functions_func_k.html#index_k"><span>k</span></a></li>
      <li><a href="functions_func_l.html#index_l"><span>l</span></a></li>
      <li><a href="functions_func_m.html#index_m"><span>m</span></a></li>
      <li><a href="functions_func_n.html#index_n"><span>n</span></a></li>
      <li><a href="functions_func_o.html#index_o"><span>o</span></a></li>
      <li><a href="functions_func_p.html#index_p"><span>p</span></a></li>
      <li><a href="functions_func_r.html#index_r"><span>r</span></a></li>
      <li class="current"><a href="functions_func_s.html#index_s"><span>s</span></a></li>
      <li><a href="functions_func_t.html#index_t"><span>t</span></a></li>
      <li><a href="functions_func_u.html#index_u"><span>u</span></a></li>
      <li><a href="functions_func_v.html#index_v"><span>v</span></a></li>
      <li><a href="functions_func_w.html#index_w"><span>w</span></a></li>
      <li><a href="functions_func_x.html#index_x"><span>x</span></a></li>
      <li><a href="functions_func_~.html#index_~"><span>~</span></a></li>
    </ul>
  </div>
</div><!-- top -->
<!-- window showing the filter options -->
<div id="MSearchSelectWindow"
     onmouseover="return searchBox.OnSearchSelectShow()"
     onmouseout="return searchBox.OnSearchSelectHide()"
     onkeydown="return searchBox.OnSearchSelectKey(event)">
<a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(0)"><span class="SelectionMark">&#160;</span>All</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(1)"><span class="SelectionMark">&#160;</span>Classes</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(2)"><span class="SelectionMark">&#160;</span>Namespaces</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(3)"><span class="SelectionMark">&#160;</span>Files</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(4)"><span class="SelectionMark">&#160;</span>Functions</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(5)"><span class="SelectionMark">&#160;</span>Variables</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(6)"><span class="SelectionMark">&#160;</span>Typedefs</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(7)"><span class="SelectionMark">&#160;</span>Enumerations</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(8)"><span class="SelectionMark">&#160;</span>Enumerator</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(9)"><span class="SelectionMark">&#160;</span>Macros</a></div>

<!-- iframe showing the search results (closed by default) -->
<div id="MSearchResultsWindow">
<iframe src="javascript:void(0)" frameborder="0" 
        name="MSearchResults" id="MSearchResults">
</iframe>
</div>

<div class="contents">
&#160;

<h3><a class="anchor" id="index_s"></a>- s -</h3><ul>
<li>send()
: <a class="el" href="classuhd_1_1transport_1_1udp__simple.html#aca3d6fef6f4031db66451c4a888fa897">uhd::transport::udp_simple</a>
, <a class="el" href="classuhd_1_1tx__streamer.html#aeb2e0f44810693d9da99ea1e04fad21f">uhd::tx_streamer</a>
</li>
<li>sensor_value_t()
: <a class="el" href="structuhd_1_1sensor__value__t.html#a5d69fb116f970f6ac48df00b72e537d6">uhd::sensor_value_t</a>
</li>
<li>serialize()
: <a class="el" href="structuhd_1_1usrprio__rpc_1_1usrprio__device__info.html#a4da39f667ec5d523f7dac453a744be6d">uhd::usrprio_rpc::usrprio_device_info</a>
</li>
<li>set()
: <a class="el" href="classuhd_1_1dict.html#afc5a15726e80fa91f0338a0c41a1c0e5">uhd::dict&lt; Key, Val &gt;</a>
, <a class="el" href="classuhd_1_1property.html#aff1360aa4e1ddcd9fee2fd8d7326ce6f">uhd::property&lt; T &gt;</a>
</li>
<li>set_atr_reg()
: <a class="el" href="classuhd_1_1usrp_1_1dboard__iface.html#ac99323a57a68c7d04afb0bef09254583">uhd::usrp::dboard_iface</a>
</li>
<li>set_clock_config()
: <a class="el" href="classuhd_1_1usrp_1_1multi__usrp.html#aceddf575752fda1a8cc75513a1178fd9">uhd::usrp::multi_usrp</a>
</li>
<li>set_clock_enabled()
: <a class="el" href="classuhd_1_1usrp_1_1dboard__iface.html#af93d409325b75c681cf272168fe7b988">uhd::usrp::dboard_iface</a>
</li>
<li>set_clock_rate()
: <a class="el" href="classuhd_1_1usrp_1_1dboard__iface.html#a2f346356eca7ce63343b636b66d57b30">uhd::usrp::dboard_iface</a>
</li>
<li>set_clock_source()
: <a class="el" href="classuhd_1_1usrp_1_1multi__usrp.html#a73ed40009d0d3787c183d42423d25026">uhd::usrp::multi_usrp</a>
</li>
<li>set_clock_source_out()
: <a class="el" href="classuhd_1_1usrp_1_1multi__usrp.html#a60445c1a52e4763b6ebbbfce2db96569">uhd::usrp::multi_usrp</a>
</li>
<li>set_command_time()
: <a class="el" href="classuhd_1_1usrp_1_1multi__usrp.html#a191b78b00d051d3d51c2f719361c1fb5">uhd::usrp::multi_usrp</a>
</li>
<li>set_gpio_attr()
: <a class="el" href="classuhd_1_1usrp_1_1multi__usrp.html#ab89ea68eed1d97c713a38dfa17bfb7c8">uhd::usrp::multi_usrp</a>
</li>
<li>set_gpio_ddr()
: <a class="el" href="classuhd_1_1usrp_1_1dboard__iface.html#a377aa6291e0a77cbdf74c58762799c73">uhd::usrp::dboard_iface</a>
</li>
<li>set_gpio_debug()
: <a class="el" href="classuhd_1_1usrp_1_1dboard__iface.html#aa7377f2d01221facac8ef5ea76f5c352">uhd::usrp::dboard_iface</a>
</li>
<li>set_gpio_out()
: <a class="el" href="classuhd_1_1usrp_1_1dboard__iface.html#ac90638da55d14cd53aee81e895ee16f2">uhd::usrp::dboard_iface</a>
</li>
<li>set_master_clock_rate()
: <a class="el" href="classuhd_1_1usrp_1_1multi__usrp.html#a99254abfa5259b70a020e667eee619b9">uhd::usrp::multi_usrp</a>
</li>
<li>set_pin_ctrl()
: <a class="el" href="classuhd_1_1usrp_1_1dboard__iface.html#a4ad15deee0949a15518224d3c4d29cc3">uhd::usrp::dboard_iface</a>
</li>
<li>set_rpc_timeout()
: <a class="el" href="classuhd_1_1usrprio__rpc_1_1usrprio__rpc__client.html#a5818892b557b65b7dd51c79ff7d356db">uhd::usrprio_rpc::usrprio_rpc_client</a>
</li>
<li>set_rx_antenna()
: <a class="el" href="classuhd_1_1usrp_1_1multi__usrp.html#a72b7947cb0c434b98e9915f91b8f8fe0">uhd::usrp::multi_usrp</a>
</li>
<li>set_rx_bandwidth()
: <a class="el" href="classuhd_1_1usrp_1_1multi__usrp.html#a4e026819f286e69c48c2e1956d95c6fb">uhd::usrp::multi_usrp</a>
</li>
<li>set_rx_dc_offset()
: <a class="el" href="classuhd_1_1usrp_1_1multi__usrp.html#a7beb49c1a04a81b3e7569db482453746">uhd::usrp::multi_usrp</a>
</li>
<li>set_rx_freq()
: <a class="el" href="classuhd_1_1usrp_1_1multi__usrp.html#a9b61448f392466e20572fdcb042e8ec6">uhd::usrp::multi_usrp</a>
</li>
<li>set_rx_gain()
: <a class="el" href="classuhd_1_1usrp_1_1multi__usrp.html#ad602e7681b796deddd9231f022ffef11">uhd::usrp::multi_usrp</a>
</li>
<li>set_rx_iq_balance()
: <a class="el" href="classuhd_1_1usrp_1_1multi__usrp.html#a7ccd212322a5da010ba79f9f18561156">uhd::usrp::multi_usrp</a>
</li>
<li>set_rx_rate()
: <a class="el" href="classuhd_1_1usrp_1_1multi__usrp.html#a587cfb5be38a16fec532793b34fbf947">uhd::usrp::multi_usrp</a>
</li>
<li>set_rx_subdev_spec()
: <a class="el" href="classuhd_1_1usrp_1_1multi__usrp.html#a7f94ed00059cc7dd30567d031b3f9679">uhd::usrp::multi_usrp</a>
</li>
<li>set_scalar()
: <a class="el" href="classuhd_1_1convert_1_1converter.html#a6d414f0496ecab79104751f833f51331">uhd::convert::converter</a>
</li>
<li>set_time_next_pps()
: <a class="el" href="classuhd_1_1usrp_1_1multi__usrp.html#a75b1c5375549e6a446d686ee7d9b4e14">uhd::usrp::multi_usrp</a>
</li>
<li>set_time_now()
: <a class="el" href="classuhd_1_1usrp_1_1multi__usrp.html#a351a2c3081944a0d2caab95e2a2f0926">uhd::usrp::multi_usrp</a>
</li>
<li>set_time_source()
: <a class="el" href="classuhd_1_1usrp_1_1multi__usrp.html#a57a5580ba06d7d6a037c9ef64f1ea361">uhd::usrp::multi_usrp</a>
</li>
<li>set_time_source_out()
: <a class="el" href="classuhd_1_1usrp_1_1multi__usrp.html#a3a1a4bf21fb32bf761204ce0561b5aa7">uhd::usrp::multi_usrp</a>
</li>
<li>set_time_unknown_pps()
: <a class="el" href="classuhd_1_1usrp_1_1multi__usrp.html#a413014bf3aea4a8ea2d268b4a3b390e9">uhd::usrp::multi_usrp</a>
</li>
<li>set_tx_antenna()
: <a class="el" href="classuhd_1_1usrp_1_1multi__usrp.html#a4e8cd16aa93bf3375cdcd3daca3b6b24">uhd::usrp::multi_usrp</a>
</li>
<li>set_tx_bandwidth()
: <a class="el" href="classuhd_1_1usrp_1_1multi__usrp.html#ad04bfc300735435a7937d4eb37e5523d">uhd::usrp::multi_usrp</a>
</li>
<li>set_tx_dc_offset()
: <a class="el" href="classuhd_1_1usrp_1_1multi__usrp.html#a263ab7f0364c03e8a6e330c546769e4f">uhd::usrp::multi_usrp</a>
</li>
<li>set_tx_freq()
: <a class="el" href="classuhd_1_1usrp_1_1multi__usrp.html#ae7a674a9d012a78dd4f2ded478839124">uhd::usrp::multi_usrp</a>
</li>
<li>set_tx_gain()
: <a class="el" href="classuhd_1_1usrp_1_1multi__usrp.html#a41cc3c774451d0a2c5f69cd8df0f9f06">uhd::usrp::multi_usrp</a>
</li>
<li>set_tx_iq_balance()
: <a class="el" href="classuhd_1_1usrp_1_1multi__usrp.html#ad938e609a11773e21611ee86a0e1b1c5">uhd::usrp::multi_usrp</a>
</li>
<li>set_tx_rate()
: <a class="el" href="classuhd_1_1usrp_1_1multi__usrp.html#ab8bc17744d3ee94b7c5cdcb75457bd6b">uhd::usrp::multi_usrp</a>
</li>
<li>set_tx_subdev_spec()
: <a class="el" href="classuhd_1_1usrp_1_1multi__usrp.html#a3b8d9d9fb9a1ec51e81a207cd299e517">uhd::usrp::multi_usrp</a>
</li>
<li>set_user_register()
: <a class="el" href="classuhd_1_1usrp_1_1multi__usrp.html#a7c74905c18e464b8b3f59fb2dd70dbf3">uhd::usrp::multi_usrp</a>
</li>
<li>set_value()
: <a class="el" href="classuhd_1_1gain__group.html#a5df641ad73319fac4098948bff534238">uhd::gain_group</a>
</li>
<li>simple_claimer()
: <a class="el" href="classuhd_1_1simple__claimer.html#a17d23d8cd8751aa9212b9da02b342c36">uhd::simple_claimer</a>
</li>
<li>size()
: <a class="el" href="classuhd_1_1dict.html#a114dc49bb3350b53915621ff909d7e94">uhd::dict&lt; Key, Val &gt;</a>
, <a class="el" href="classuhd_1_1ref__vector.html#a18c02aaeb6625441213070adea95daa5">uhd::ref_vector&lt; T &gt;</a>
, <a class="el" href="classuhd_1_1transport_1_1buffer__pool.html#a71b3c330703ef30d1a1db80f0101698a">uhd::transport::buffer_pool</a>
, <a class="el" href="classuhd_1_1transport_1_1managed__buffer.html#a2044880e1c0149a95b073309c5c75bad">uhd::transport::managed_buffer</a>
</li>
<li>spi_config_t()
: <a class="el" href="structuhd_1_1spi__config__t.html#aa2988723c3d20d0cc3006c09ae7c8951">uhd::spi_config_t</a>
</li>
<li>start()
: <a class="el" href="structuhd_1_1meta__range__t.html#a4f09a1a81b966a92ebfa25f6c1d351ec">uhd::meta_range_t</a>
, <a class="el" href="classuhd_1_1range__t.html#ae237a39211a74455b3b7d8fe27014edd">uhd::range_t</a>
</li>
<li>status()
: <a class="el" href="classuhd_1_1usrprio__rpc_1_1rpc__client.html#a5684f02ef006f35308d9f5df69b8ba49">uhd::usrprio_rpc::rpc_client</a>
</li>
<li>step()
: <a class="el" href="structuhd_1_1meta__range__t.html#ae3227073aedda2b53b4b44cb75d8709b">uhd::meta_range_t</a>
, <a class="el" href="classuhd_1_1range__t.html#a246d798fa86beac06207b1cebf949b95">uhd::range_t</a>
</li>
<li>stop()
: <a class="el" href="structuhd_1_1meta__range__t.html#a89bf767f8817f78553460ba30d4a81f9">uhd::meta_range_t</a>
, <a class="el" href="classuhd_1_1range__t.html#a624344f03cbc99803fd39593c1f2f217">uhd::range_t</a>
</li>
<li>store()
: <a class="el" href="structuhd_1_1usrp_1_1dboard__eeprom__t.html#aff1f17f8032eb75d0c0d0bed164d688c">uhd::usrp::dboard_eeprom_t</a>
, <a class="el" href="classuhd_1_1usrprio__rpc_1_1func__args__writer__t.html#a69875b642ea74e5a0fc6e81ad0029fa4">uhd::usrprio_rpc::func_args_writer_t</a>
</li>
<li>stream_args_t()
: <a class="el" href="structuhd_1_1stream__args__t.html#aa54b7dc3e2c71d11c774d8b4a15984cc">uhd::stream_args_t</a>
</li>
<li>stream_cmd_t()
: <a class="el" href="structuhd_1_1stream__cmd__t.html#aff834ed8ccba992d53649bd1f18c8cbb">uhd::stream_cmd_t</a>
</li>
<li>strerror()
: <a class="el" href="structuhd_1_1rx__metadata__t.html#a0a033cef5cc266551cd719e2d233d9c2">uhd::rx_metadata_t</a>
</li>
<li>subdev_spec_pair_t()
: <a class="el" href="structuhd_1_1usrp_1_1subdev__spec__pair__t.html#a2bae39baaf529834fbd719cbd79e4a01">uhd::usrp::subdev_spec_pair_t</a>
</li>
<li>subdev_spec_t()
: <a class="el" href="classuhd_1_1usrp_1_1subdev__spec__t.html#a16d21980b9bf5d4514ee6dd82ab7f8b6">uhd::usrp::subdev_spec_t</a>
</li>
<li>submit()
: <a class="el" href="classuhd_1_1transport_1_1usb__control.html#a15af0b8658df4b93c613c0836e88256b">uhd::transport::usb_control</a>
</li>
<li>subscribe()
: <a class="el" href="classuhd_1_1property.html#aeb7bba1624ccf2eda930802ac445b668">uhd::property&lt; T &gt;</a>
</li>
<li>subtree()
: <a class="el" href="classuhd_1_1property__tree.html#ad369a07c498fb949bc65f91f151d8901">uhd::property_tree</a>
</li>
<li>system_error()
: <a class="el" href="structuhd_1_1system__error.html#a55311eb0a7f3af67503eb76b301be162">uhd::system_error</a>
</li>
</ul>
</div><!-- contents -->
<!-- start footer part -->
<hr class="footer"/><address class="footer"><small>
Generated on Wed Oct 15 2014 11:47:54 for UHD by &#160;<a href="http://www.doxygen.org/index.html">
<img class="footer" src="doxygen.png" alt="doxygen"/>
</a> 1.8.8
</small></address>
</body>
</html>