Sophie

Sophie

distrib > Mageia > 5 > i586 > media > core-release > by-pkgid > eb4b034508697cc17e7c9cfffe7f772b > files > 276

uhd-doc-3.7.2-3.mga5.noarch.rpm

<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
<html xmlns="http://www.w3.org/1999/xhtml">
<head>
<meta http-equiv="Content-Type" content="text/xhtml;charset=UTF-8"/>
<meta http-equiv="X-UA-Compatible" content="IE=9"/>
<meta name="generator" content="Doxygen 1.8.8"/>
<title>UHD: Class Hierarchy</title>
<link href="tabs.css" rel="stylesheet" type="text/css"/>
<script type="text/javascript" src="jquery.js"></script>
<script type="text/javascript" src="dynsections.js"></script>
<link href="search/search.css" rel="stylesheet" type="text/css"/>
<script type="text/javascript" src="search/search.js"></script>
<script type="text/javascript">
  $(document).ready(function() { searchBox.OnSelectItem(0); });
</script>
<link href="doxygen.css" rel="stylesheet" type="text/css" />
</head>
<body>
<div id="top"><!-- do not remove this div, it is closed by doxygen! -->
<div id="titlearea">
<table cellspacing="0" cellpadding="0">
 <tbody>
 <tr style="height: 56px;">
  <td style="padding-left: 0.5em;">
   <div id="projectname">UHD
   &#160;<span id="projectnumber">003.007.002-0-unknown</span>
   </div>
  </td>
 </tr>
 </tbody>
</table>
</div>
<!-- end header part -->
<!-- Generated by Doxygen 1.8.8 -->
<script type="text/javascript">
var searchBox = new SearchBox("searchBox", "search",false,'Search');
</script>
  <div id="navrow1" class="tabs">
    <ul class="tablist">
      <li><a href="index.html"><span>Main&#160;Page</span></a></li>
      <li><a href="namespaces.html"><span>Namespaces</span></a></li>
      <li class="current"><a href="annotated.html"><span>Classes</span></a></li>
      <li><a href="files.html"><span>Files</span></a></li>
      <li>
        <div id="MSearchBox" class="MSearchBoxInactive">
        <span class="left">
          <img id="MSearchSelect" src="search/mag_sel.png"
               onmouseover="return searchBox.OnSearchSelectShow()"
               onmouseout="return searchBox.OnSearchSelectHide()"
               alt=""/>
          <input type="text" id="MSearchField" value="Search" accesskey="S"
               onfocus="searchBox.OnSearchFieldFocus(true)" 
               onblur="searchBox.OnSearchFieldFocus(false)" 
               onkeyup="searchBox.OnSearchFieldChange(event)"/>
          </span><span class="right">
            <a id="MSearchClose" href="javascript:searchBox.CloseResultsWindow()"><img id="MSearchCloseImg" border="0" src="search/close.png" alt=""/></a>
          </span>
        </div>
      </li>
    </ul>
  </div>
  <div id="navrow2" class="tabs2">
    <ul class="tablist">
      <li><a href="annotated.html"><span>Class&#160;List</span></a></li>
      <li class="current"><a href="hierarchy.html"><span>Class&#160;Hierarchy</span></a></li>
      <li><a href="functions.html"><span>Class&#160;Members</span></a></li>
    </ul>
  </div>
</div><!-- top -->
<!-- window showing the filter options -->
<div id="MSearchSelectWindow"
     onmouseover="return searchBox.OnSearchSelectShow()"
     onmouseout="return searchBox.OnSearchSelectHide()"
     onkeydown="return searchBox.OnSearchSelectKey(event)">
<a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(0)"><span class="SelectionMark">&#160;</span>All</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(1)"><span class="SelectionMark">&#160;</span>Classes</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(2)"><span class="SelectionMark">&#160;</span>Namespaces</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(3)"><span class="SelectionMark">&#160;</span>Files</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(4)"><span class="SelectionMark">&#160;</span>Functions</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(5)"><span class="SelectionMark">&#160;</span>Variables</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(6)"><span class="SelectionMark">&#160;</span>Typedefs</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(7)"><span class="SelectionMark">&#160;</span>Enumerations</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(8)"><span class="SelectionMark">&#160;</span>Enumerator</a><a class="SelectItem" href="javascript:void(0)" onclick="searchBox.OnSelectItem(9)"><span class="SelectionMark">&#160;</span>Macros</a></div>

<!-- iframe showing the search results (closed by default) -->
<div id="MSearchResultsWindow">
<iframe src="javascript:void(0)" frameborder="0" 
        name="MSearchResults" id="MSearchResults">
</iframe>
</div>

<div class="header">
  <div class="headertitle">
<div class="title">Class Hierarchy</div>  </div>
</div><!--header-->
<div class="contents">
<div class="textblock">This inheritance list is sorted roughly, but not completely, alphabetically:</div><div class="directory">
<div class="levels">[detail level <span onclick="javascript:toggleLevel(1);">1</span><span onclick="javascript:toggleLevel(2);">2</span><span onclick="javascript:toggleLevel(3);">3</span><span onclick="javascript:toggleLevel(4);">4</span><span onclick="javascript:toggleLevel(5);">5</span>]</div><table class="directory">
<tr id="row_0_" class="even"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1msg_1_1__msg.html" target="_self">uhd::msg::_msg</a></td><td class="desc">Internal message object (called by UHD_MSG macro) </td></tr>
<tr id="row_1_"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="struct__uhd__static__fixture.html" target="_self">_uhd_static_fixture</a></td><td class="desc">Helper for static block, constructor calls function </td></tr>
<tr id="row_2_" class="even"><td class="entry"><span style="width:0px;display:inline-block;">&#160;</span><span id="arr_2_" class="arrow" onclick="toggleFolder('2_')">&#9660;</span><span class="icona"><span class="icon">C</span></span><b>additive</b></td><td class="desc"></td></tr>
<tr id="row_2_0_"><td class="entry"><span style="width:32px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1time__spec__t.html" target="_self">uhd::time_spec_t</a></td><td class="desc"></td></tr>
<tr id="row_3_" class="even"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1async__metadata__t.html" target="_self">uhd::async_metadata_t</a></td><td class="desc"></td></tr>
<tr id="row_4_"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1atomic__uint32__t.html" target="_self">uhd::atomic_uint32_t</a></td><td class="desc">A 32-bit integer that can be atomically accessed </td></tr>
<tr id="row_5_" class="even"><td class="entry"><span style="width:0px;display:inline-block;">&#160;</span><span id="arr_5_" class="arrow" onclick="toggleFolder('5_')">&#9660;</span><span class="icona"><span class="icon">C</span></span><b>std::basic_string&lt; Char &gt;</b></td><td class="desc">STL class </td></tr>
<tr id="row_5_0_"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span id="arr_5_0_" class="arrow" onclick="toggleFolder('5_0_')">&#9660;</span><span class="icona"><span class="icon">C</span></span><b>std::string</b></td><td class="desc">STL class </td></tr>
<tr id="row_5_0_0_" class="even"><td class="entry"><span style="width:48px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1fs__path.html" target="_self">uhd::fs_path</a></td><td class="desc"></td></tr>
<tr id="row_6_"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1usrprio__rpc_1_1boost__serialization__archive__utils.html" target="_self">uhd::usrprio_rpc::boost_serialization_archive_utils</a></td><td class="desc"></td></tr>
<tr id="row_7_" class="even"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1transport_1_1bounded__buffer.html" target="_self">uhd::transport::bounded_buffer&lt; elem_type &gt;</a></td><td class="desc"></td></tr>
<tr id="row_8_"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1transport_1_1udp__zero__copy_1_1buff__params.html" target="_self">uhd::transport::udp_zero_copy::buff_params</a></td><td class="desc"></td></tr>
<tr id="row_9_" class="even"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1clock__config__t.html" target="_self">uhd::clock_config_t</a></td><td class="desc"></td></tr>
<tr id="row_10_"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1convert_1_1converter.html" target="_self">uhd::convert::converter</a></td><td class="desc">A conversion class that implements a conversion from inputs -&gt; outputs </td></tr>
<tr id="row_11_" class="even"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1usrp_1_1dboard__eeprom__t.html" target="_self">uhd::usrp::dboard_eeprom_t</a></td><td class="desc"></td></tr>
<tr id="row_12_"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1usrp_1_1dboard__iface__special__props__t.html" target="_self">uhd::usrp::dboard_iface_special_props_t</a></td><td class="desc">Special properties that differentiate this daughterboard slot </td></tr>
<tr id="row_13_" class="even"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1dict.html" target="_self">uhd::dict&lt; Key, Val &gt;</a></td><td class="desc"></td></tr>
<tr id="row_14_"><td class="entry"><span style="width:0px;display:inline-block;">&#160;</span><span id="arr_14_" class="arrow" onclick="toggleFolder('14_')">&#9660;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1dict.html" target="_self">uhd::dict&lt; std::string, std::string &gt;</a></td><td class="desc"></td></tr>
<tr id="row_14_0_" class="even"><td class="entry"><span style="width:32px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1device__addr__t.html" target="_self">uhd::device_addr_t</a></td><td class="desc"></td></tr>
<tr id="row_14_1_"><td class="entry"><span style="width:32px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1usrp_1_1mboard__eeprom__t.html" target="_self">uhd::usrp::mboard_eeprom_t</a></td><td class="desc"></td></tr>
<tr id="row_15_" class="even"><td class="entry"><span style="width:0px;display:inline-block;">&#160;</span><span id="arr_15_" class="arrow" onclick="toggleFolder('15_')">&#9660;</span><span class="icona"><span class="icon">C</span></span><b>equality_comparable</b></td><td class="desc"></td></tr>
<tr id="row_15_0_"><td class="entry"><span style="width:32px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1convert_1_1id__type.html" target="_self">uhd::convert::id_type</a></td><td class="desc">Identify a conversion routine in the registry </td></tr>
<tr id="row_15_1_" class="even"><td class="entry"><span style="width:32px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1usrp_1_1dboard__id__t.html" target="_self">uhd::usrp::dboard_id_t</a></td><td class="desc"></td></tr>
<tr id="row_15_2_"><td class="entry"><span style="width:32px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1usrp_1_1subdev__spec__pair__t.html" target="_self">uhd::usrp::subdev_spec_pair_t</a></td><td class="desc"></td></tr>
<tr id="row_16_" class="even"><td class="entry"><span style="width:0px;display:inline-block;">&#160;</span><span id="arr_16_" class="arrow" onclick="toggleFolder('16_')">&#9660;</span><span class="icona"><span class="icon">C</span></span><b>std::exception</b></td><td class="desc">STL class </td></tr>
<tr id="row_16_0_"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span id="arr_16_0_" class="arrow" onclick="toggleFolder('16_0_')">&#9660;</span><span class="icona"><span class="icon">C</span></span><b>std::runtime_error</b></td><td class="desc">STL class </td></tr>
<tr id="row_16_0_0_" class="even"><td class="entry"><span style="width:32px;display:inline-block;">&#160;</span><span id="arr_16_0_0_" class="arrow" onclick="toggleFolder('16_0_0_')">&#9660;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1exception.html" target="_self">uhd::exception</a></td><td class="desc"></td></tr>
<tr id="row_16_0_0_0_"><td class="entry"><span style="width:64px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1assertion__error.html" target="_self">uhd::assertion_error</a></td><td class="desc"></td></tr>
<tr id="row_16_0_0_1_" class="even"><td class="entry"><span style="width:48px;display:inline-block;">&#160;</span><span id="arr_16_0_0_1_" class="arrow" onclick="toggleFolder('16_0_0_1_')">&#9658;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1environment__error.html" target="_self">uhd::environment_error</a></td><td class="desc"></td></tr>
<tr id="row_16_0_0_1_0_" style="display:none;"><td class="entry"><span style="width:80px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1io__error.html" target="_self">uhd::io_error</a></td><td class="desc"></td></tr>
<tr id="row_16_0_0_1_1_" style="display:none;"><td class="entry"><span style="width:80px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1os__error.html" target="_self">uhd::os_error</a></td><td class="desc"></td></tr>
<tr id="row_16_0_0_2_"><td class="entry"><span style="width:48px;display:inline-block;">&#160;</span><span id="arr_16_0_0_2_" class="arrow" onclick="toggleFolder('16_0_0_2_')">&#9658;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1lookup__error.html" target="_self">uhd::lookup_error</a></td><td class="desc"></td></tr>
<tr id="row_16_0_0_2_0_" class="even" style="display:none;"><td class="entry"><span style="width:80px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1index__error.html" target="_self">uhd::index_error</a></td><td class="desc"></td></tr>
<tr id="row_16_0_0_2_1_" class="even" style="display:none;"><td class="entry"><span style="width:80px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1key__error.html" target="_self">uhd::key_error</a></td><td class="desc"></td></tr>
<tr id="row_16_0_0_3_" class="even"><td class="entry"><span style="width:48px;display:inline-block;">&#160;</span><span id="arr_16_0_0_3_" class="arrow" onclick="toggleFolder('16_0_0_3_')">&#9658;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1runtime__error.html" target="_self">uhd::runtime_error</a></td><td class="desc"></td></tr>
<tr id="row_16_0_0_3_0_" style="display:none;"><td class="entry"><span style="width:80px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1not__implemented__error.html" target="_self">uhd::not_implemented_error</a></td><td class="desc"></td></tr>
<tr id="row_16_0_0_4_"><td class="entry"><span style="width:64px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1system__error.html" target="_self">uhd::system_error</a></td><td class="desc"></td></tr>
<tr id="row_16_0_0_5_" class="even"><td class="entry"><span style="width:64px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1type__error.html" target="_self">uhd::type_error</a></td><td class="desc"></td></tr>
<tr id="row_16_0_0_6_"><td class="entry"><span style="width:64px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1value__error.html" target="_self">uhd::value_error</a></td><td class="desc"></td></tr>
<tr id="row_17_" class="even"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1usrprio__rpc_1_1func__args__header__t.html" target="_self">uhd::usrprio_rpc::func_args_header_t</a></td><td class="desc"></td></tr>
<tr id="row_18_"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1usrprio__rpc_1_1func__args__reader__t.html" target="_self">uhd::usrprio_rpc::func_args_reader_t</a></td><td class="desc"></td></tr>
<tr id="row_19_" class="even"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1usrprio__rpc_1_1func__args__writer__t.html" target="_self">uhd::usrprio_rpc::func_args_writer_t</a></td><td class="desc"></td></tr>
<tr id="row_20_"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1usrprio__rpc_1_1func__xport__buf__t.html" target="_self">uhd::usrprio_rpc::func_xport_buf_t</a></td><td class="desc"></td></tr>
<tr id="row_21_" class="even"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1gain__fcns__t.html" target="_self">uhd::gain_fcns_t</a></td><td class="desc"></td></tr>
<tr id="row_22_"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1usrprio__rpc_1_1hshake__args__t.html" target="_self">uhd::usrprio_rpc::hshake_args_t</a></td><td class="desc"></td></tr>
<tr id="row_23_" class="even"><td class="entry"><span style="width:0px;display:inline-block;">&#160;</span><span id="arr_23_" class="arrow" onclick="toggleFolder('23_')">&#9660;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1i2c__iface.html" target="_self">uhd::i2c_iface</a></td><td class="desc"></td></tr>
<tr id="row_23_0_"><td class="entry"><span style="width:32px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1usrp_1_1dboard__iface.html" target="_self">uhd::usrp::dboard_iface</a></td><td class="desc"></td></tr>
<tr id="row_24_" class="even"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1transport_1_1if__addrs__t.html" target="_self">uhd::transport::if_addrs_t</a></td><td class="desc"></td></tr>
<tr id="row_25_"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1transport_1_1vrt_1_1if__packet__info__t.html" target="_self">uhd::transport::vrt::if_packet_info_t</a></td><td class="desc"></td></tr>
<tr id="row_26_" class="even"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1io__type__t.html" target="_self">uhd::io_type_t</a></td><td class="desc"></td></tr>
<tr id="row_27_"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1__log_1_1log.html" target="_self">uhd::_log::log</a></td><td class="desc">Internal logging object (called by UHD_LOG macros) </td></tr>
<tr id="row_28_" class="even"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1mac__addr__t.html" target="_self">uhd::mac_addr_t</a></td><td class="desc"></td></tr>
<tr id="row_29_"><td class="entry"><span style="width:0px;display:inline-block;">&#160;</span><span id="arr_29_" class="arrow" onclick="toggleFolder('29_')">&#9660;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1transport_1_1managed__buffer.html" target="_self">uhd::transport::managed_buffer</a></td><td class="desc">Simple managed buffer with release interface </td></tr>
<tr id="row_29_0_" class="even"><td class="entry"><span style="width:32px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1transport_1_1managed__recv__buffer.html" target="_self">uhd::transport::managed_recv_buffer</a></td><td class="desc"></td></tr>
<tr id="row_29_1_"><td class="entry"><span style="width:32px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1transport_1_1managed__send__buffer.html" target="_self">uhd::transport::managed_send_buffer</a></td><td class="desc"></td></tr>
<tr id="row_30_" class="even"><td class="entry"><span style="width:0px;display:inline-block;">&#160;</span><span id="arr_30_" class="arrow" onclick="toggleFolder('30_')">&#9660;</span><span class="icona"><span class="icon">C</span></span><b>noncopyable</b></td><td class="desc"></td></tr>
<tr id="row_30_0_"><td class="entry"><span style="width:32px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1device.html" target="_self">uhd::device</a></td><td class="desc"></td></tr>
<tr id="row_30_1_" class="even"><td class="entry"><span style="width:32px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1gain__group.html" target="_self">uhd::gain_group</a></td><td class="desc"></td></tr>
<tr id="row_30_2_"><td class="entry"><span style="width:32px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1gps__ctrl.html" target="_self">uhd::gps_ctrl</a></td><td class="desc"></td></tr>
<tr id="row_30_3_" class="even"><td class="entry"><span style="width:32px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1msg__task.html" target="_self">uhd::msg_task</a></td><td class="desc"></td></tr>
<tr id="row_30_4_"><td class="entry"><span style="width:32px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1property.html" target="_self">uhd::property&lt; T &gt;</a></td><td class="desc"></td></tr>
<tr id="row_30_5_" class="even"><td class="entry"><span style="width:32px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1property__tree.html" target="_self">uhd::property_tree</a></td><td class="desc"></td></tr>
<tr id="row_30_6_"><td class="entry"><span style="width:32px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1rx__streamer.html" target="_self">uhd::rx_streamer</a></td><td class="desc"></td></tr>
<tr id="row_30_7_" class="even"><td class="entry"><span style="width:32px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1task.html" target="_self">uhd::task</a></td><td class="desc"></td></tr>
<tr id="row_30_8_"><td class="entry"><span style="width:32px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1transport_1_1buffer__pool.html" target="_self">uhd::transport::buffer_pool</a></td><td class="desc"></td></tr>
<tr id="row_30_9_" class="even"><td class="entry"><span style="width:32px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1transport_1_1udp__simple.html" target="_self">uhd::transport::udp_simple</a></td><td class="desc"></td></tr>
<tr id="row_30_10_"><td class="entry"><span style="width:32px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1transport_1_1usb__control.html" target="_self">uhd::transport::usb_control</a></td><td class="desc"></td></tr>
<tr id="row_30_11_" class="even"><td class="entry"><span style="width:32px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1transport_1_1usb__device__handle.html" target="_self">uhd::transport::usb_device_handle</a></td><td class="desc"></td></tr>
<tr id="row_30_12_"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span id="arr_30_12_" class="arrow" onclick="toggleFolder('30_12_')">&#9660;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1transport_1_1zero__copy__if.html" target="_self">uhd::transport::zero_copy_if</a></td><td class="desc"></td></tr>
<tr id="row_30_12_0_" class="even"><td class="entry"><span style="width:48px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1transport_1_1nirio__zero__copy.html" target="_self">uhd::transport::nirio_zero_copy</a></td><td class="desc"></td></tr>
<tr id="row_30_12_1_"><td class="entry"><span style="width:48px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1transport_1_1tcp__zero__copy.html" target="_self">uhd::transport::tcp_zero_copy</a></td><td class="desc"></td></tr>
<tr id="row_30_12_2_" class="even"><td class="entry"><span style="width:48px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1transport_1_1udp__zero__copy.html" target="_self">uhd::transport::udp_zero_copy</a></td><td class="desc"></td></tr>
<tr id="row_30_12_3_"><td class="entry"><span style="width:48px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1transport_1_1usb__zero__copy.html" target="_self">uhd::transport::usb_zero_copy</a></td><td class="desc"></td></tr>
<tr id="row_30_13_" class="even"><td class="entry"><span style="width:32px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1tx__streamer.html" target="_self">uhd::tx_streamer</a></td><td class="desc"></td></tr>
<tr id="row_30_14_"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span id="arr_30_14_" class="arrow" onclick="toggleFolder('30_14_')">&#9660;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1usrp_1_1dboard__base.html" target="_self">uhd::usrp::dboard_base</a></td><td class="desc"></td></tr>
<tr id="row_30_14_0_" class="even"><td class="entry"><span style="width:48px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1usrp_1_1rx__dboard__base.html" target="_self">uhd::usrp::rx_dboard_base</a></td><td class="desc"></td></tr>
<tr id="row_30_14_1_"><td class="entry"><span style="width:48px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1usrp_1_1tx__dboard__base.html" target="_self">uhd::usrp::tx_dboard_base</a></td><td class="desc"></td></tr>
<tr id="row_30_14_2_" class="even"><td class="entry"><span style="width:48px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1usrp_1_1xcvr__dboard__base.html" target="_self">uhd::usrp::xcvr_dboard_base</a></td><td class="desc"></td></tr>
<tr id="row_30_15_"><td class="entry"><span style="width:32px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1usrp_1_1dboard__manager.html" target="_self">uhd::usrp::dboard_manager</a></td><td class="desc"></td></tr>
<tr id="row_30_16_" class="even"><td class="entry"><span style="width:32px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1usrp_1_1multi__usrp.html" target="_self">uhd::usrp::multi_usrp</a></td><td class="desc"></td></tr>
<tr id="row_30_17_"><td class="entry"><span style="width:32px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1usrprio__rpc_1_1rpc__client.html" target="_self">uhd::usrprio_rpc::rpc_client</a></td><td class="desc"></td></tr>
<tr id="row_31_" class="even"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1otw__type__t.html" target="_self">uhd::otw_type_t</a></td><td class="desc"></td></tr>
<tr id="row_32_"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1range__t.html" target="_self">uhd::range_t</a></td><td class="desc"></td></tr>
<tr id="row_33_" class="even"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1ref__vector.html" target="_self">uhd::ref_vector&lt; T &gt;</a></td><td class="desc"></td></tr>
<tr id="row_34_"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1reusable__barrier.html" target="_self">uhd::reusable_barrier</a></td><td class="desc"></td></tr>
<tr id="row_35_" class="even"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1rx__metadata__t.html" target="_self">uhd::rx_metadata_t</a></td><td class="desc"></td></tr>
<tr id="row_36_"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1sensor__value__t.html" target="_self">uhd::sensor_value_t</a></td><td class="desc"></td></tr>
<tr id="row_37_" class="even"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1simple__claimer.html" target="_self">uhd::simple_claimer</a></td><td class="desc"></td></tr>
<tr id="row_38_"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1spi__config__t.html" target="_self">uhd::spi_config_t</a></td><td class="desc"></td></tr>
<tr id="row_39_" class="even"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1spi__iface.html" target="_self">uhd::spi_iface</a></td><td class="desc"></td></tr>
<tr id="row_40_"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1stream__args__t.html" target="_self">uhd::stream_args_t</a></td><td class="desc"></td></tr>
<tr id="row_41_" class="even"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1stream__cmd__t.html" target="_self">uhd::stream_cmd_t</a></td><td class="desc"></td></tr>
<tr id="row_42_"><td class="entry"><span style="width:0px;display:inline-block;">&#160;</span><span id="arr_42_" class="arrow" onclick="toggleFolder('42_')">&#9660;</span><span class="icona"><span class="icon">C</span></span><b>totally_ordered</b></td><td class="desc"></td></tr>
<tr id="row_42_0_" class="even"><td class="entry"><span style="width:32px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1time__spec__t.html" target="_self">uhd::time_spec_t</a></td><td class="desc"></td></tr>
<tr id="row_43_"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1tune__request__t.html" target="_self">uhd::tune_request_t</a></td><td class="desc"></td></tr>
<tr id="row_44_" class="even"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1tune__result__t.html" target="_self">uhd::tune_result_t</a></td><td class="desc"></td></tr>
<tr id="row_45_"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1tx__metadata__t.html" target="_self">uhd::tx_metadata_t</a></td><td class="desc"></td></tr>
<tr id="row_46_" class="even"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1uart__iface.html" target="_self">uhd::uart_iface</a></td><td class="desc"></td></tr>
<tr id="row_47_"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1usrprio__rpc_1_1usrprio__device__info.html" target="_self">uhd::usrprio_rpc::usrprio_device_info</a></td><td class="desc"></td></tr>
<tr id="row_48_" class="even"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1usrprio__rpc_1_1usrprio__rpc__client.html" target="_self">uhd::usrprio_rpc::usrprio_rpc_client</a></td><td class="desc"></td></tr>
<tr id="row_49_"><td class="entry"><span style="width:0px;display:inline-block;">&#160;</span><span id="arr_49_" class="arrow" onclick="toggleFolder('49_')">&#9660;</span><span class="icona"><span class="icon">C</span></span><b>std::vector&lt; T &gt;</b></td><td class="desc">STL class </td></tr>
<tr id="row_49_0_" class="even"><td class="entry"><span style="width:32px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1meta__range__t.html" target="_self">uhd::meta_range_t</a></td><td class="desc"></td></tr>
<tr id="row_49_1_"><td class="entry"><span style="width:32px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1usrp_1_1subdev__spec__t.html" target="_self">uhd::usrp::subdev_spec_t</a></td><td class="desc"></td></tr>
<tr id="row_50_" class="even"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="classuhd_1_1wb__iface.html" target="_self">uhd::wb_iface</a></td><td class="desc"></td></tr>
<tr id="row_51_"><td class="entry"><span style="width:16px;display:inline-block;">&#160;</span><span class="icona"><span class="icon">C</span></span><a class="el" href="structuhd_1_1transport_1_1zero__copy__xport__params.html" target="_self">uhd::transport::zero_copy_xport_params</a></td><td class="desc"></td></tr>
</table>
</div><!-- directory -->
</div><!-- contents -->
<!-- start footer part -->
<hr class="footer"/><address class="footer"><small>
Generated on Wed Oct 15 2014 11:47:54 for UHD by &#160;<a href="http://www.doxygen.org/index.html">
<img class="footer" src="doxygen.png" alt="doxygen"/>
</a> 1.8.8
</small></address>
</body>
</html>